WORLD CLASS through people, technology and dedication WORLD CLASS through people, technology and dedication

Størrelse: px
Begynne med side:

Download "WORLD CLASS through people, technology and dedication WORLD CLASS through people, technology and dedication"

Transkript

1 WORLD CLASS through people, technology and dedication WORLD CLASS through people, technology and dedication

2 FPGA/ASIC koderegler og designmetodikk i KDA Hvordan en FPGA designer kan være minst mulig på lab en Roar Skogstrøm, KDA roar.skogstrom@kongsberg.com

3 Agenda Utviklingsprosessen Design regler Parallellisering og pipelining Simulering vs. lab. debug Konklusjon

4 FPGA utviklingsprosess

5 Detailed Design

6 Design regler KDC egen erfaring + erfaring fra Tandberg Storage, Nordic Semiconductor og FFI. VHDL kode regler Navn regler DFT regler Metodikk med selvsjekkende testbenker og krav om script for syntese og P&R. VHDL Modelling Guidelines, ESA Estec 1994 og Reuse Methodology Manual, Kluwer

7 Kode regler I Only a single statement shall be allowed per line. Use (if possible) only active high signals. External signals that are active low shall be inverted in the first entered module. An exception is an active low reset signal and signals to RAMs and IPs. Avoid internal tri-state busses. Allowed types: std_logic std_logic_vector - only used for busses that are not numbers unsigned - used for all unsigned numbers signed - used for all signed numbers integer shall be avoided if possible enumerated types - can be used for state machine variables. If used in several modules, they shall be defined in common project packages. boolean used for boolean operations (std_logic is preferred) records can be used for grouping signals (e.g. cpubus = data + control).

8 Kode regler II Vectors shall be defined as MSB down to LSB, e.g. std_logic_vector (7 downto 0). LSB shall always be 0 if there are no other special reasons. An original signal type shall be used throughout the hierarchy, if the target port is of the same type. Signals from/to the core (or higher) module shall be of the type std_logic and std_logic_vector only. Allowed packages: ieee.std_logic_1164.all ieee.numeric_std.all ieee.std_logic_textio std_textio std_developerskit project and company packages Only explicit port mapping is allowed. Port ordering in entity shall be: clocks, resets, common signals, signals grouped by functionality or module (e.g. from redif, from ntc, from fxc, to ac, to pif). Group signals by interface alphabetically, inputs first, outputs and then I/O.

9 Kode regler III Do not use block statement. Concurrent statements shall only be used for assigning the outgoing port to its internal signal (e.g. res <= res_i) and for creation of tri-state busses. Avoid with and when statements (they make simulation slower). Finite state machines can be described either in two processes (one sequential and one combinatorial) or just as a single process. It is recommended to use functions rising_edge and falling_edge instead of event when describing clock edges. A multi level if-else statement shall only be used when a priority encoder is intended. Otherwise case statement shall be used. Always use default value if latch is not intended. Default value can also be set first in the process, above if/case statement. Signals in the reset part of the process as well as default assignments shall be listed in alphabetical order. Variables can be used both for internal process calculations and for register inferring. If the variable is only used for intermediate calculation, always assign the variable before it is used.

10 Kode regler IV Use parenthesis to group expressions in IF-statements to improve readability. Avoid purely combinational modules. If possible, all output signals of a module shall come from registers. Use of multi dimensional ports is not allowed. Asynchronous signals or signals crossing clock domain boundaries shall be synchronized to avoid metastability. Such signals shall typically be synchronized twice before they are used, but this depends on the clock frequency. Asynchronous input signals shall be synchronized in the first entered module. Longer concept description comments for the module shall be part of the header or placed early in the file. Shorter line comments shall be used for each process or functional part of the code. A comment declaration of each port and signal (each on a separate line) shall be used. Comments shall be placed above or to the right of the code. Align comments if possible.

11 Navn regler I Upper case shall only be used for: constants, enumerated type literals, generics and process labels. Lower case shall be used for remaining names including file names. Mixing of cases shall only be allowed for functions and procedures. All names shall be as short as possible, but always meaningful. Always separate design units (entity, architecture) in separate files. Never mix architecture types (e.g. rtl and str). File and design unit naming: entity uart_ent.vhd architecture uart_rtl.vhd configuration uart_cfg.vhd package def. nova_pck.vhd package body nova_bdy.vhd testbench tb_uart.vhd testbench config tb_uart_cfg.vhd Entity and instance names: Always use instance labels starting with _0 (e.g. fxc_reg_0, fxc_reg_1). Use prefix for modules connected with a higher level structural module. (e.g. reg leaf module with name fxc_reg connected to fxc structural module).

12 Navn regler II Predefined architecture types: str structural rtl register transfer level beh behavioural dmy dummy (empty) Predefined suffixes for signals _n negative polarity _i internal signal of outgoing port _d1, _d2 delayed signal (i.e. number of cycles). _s1, _s2 synchronized signal _str strobe Predifined names: Clock and reset signals shall be preserved throughout the hierarchy. Clocks: default clock signal shall be mclk. If other clocks exist, the name shall be clk and include frequency (m=mhz and k=khz), e.g. clk_34k, clk_10m, clk_10m24 Resets: Synchronous: rst, rst_n Asynchronous: arst, arst_n NB! If only one reset type is used, use rst or rst_n whatever style! Interrupts: signal names shall be irq_ e.g. irq_fifo_empty. Process labels shall start with prefix P_ (e.g. P_DATA_READ: ) Generate labels shall start with prefix G_ (e.g. G_MUX: )

13 Navn regler III Reserved names Do not use VHDL or Verilog reserved names. Module names Use short module names. Do not use underscore in module names (except when prefix used). Preferably 2 to 5 characters (except when prefix used; e.g. fxc_reg) From-to type signal names For processor interface signals, use prefix (e.g. pif_cs, pif_data). Do not mix prefix and suffix style (redif_we, data_redif). Read data from module to processor interface shall preferably not include module name, and shall preferably be the same for all modules, e.g. data_2pif. Modules that are connected with processor interface shall use data connection signal with module name as part of signal name, e.g. data_ac2pif. If the same logical signal with different timing goes to several modules, use _2 type: (e.g. fhc_2ac, fhc_2netm). If signals with identical names are found in other modules, and it is necessary to differentiate between them or show the direction of the signals, use _2 (e.g. fhc_ntc2ac, fhc_redif2pif). Avoid using direction as part of signal names between internal modules, e.g. data_in, res_out. Avoid using _2 at top level.

14 Katalog struktur Klassisk ASIC katalog struktur med ClearCase versjonskontroll på UNIX: Topp nivå: top, core, package, ip, scripts og promfiles. Evnt. bin, doc og adm. Under top: hdl, tb, sim, syn, pr. Under core: hdl, module1, module2, module3, osv. Evnt. tb, sim, syn og pr. For alle modulex: hdl, submodule1, submodule2, submodule3, osv. Katalogene tb og sim i module kataloger ved modulsimuleringer i tillegg til topp nivå simuleringer. Katalogene syn og pr vanligvis bare i Top modulen. Sim med en katalog for hvert simulerings case. Lange simuleringer kan kjøres utenfor ClearCase med external view av VHDL source filer i ClearCase vob. Syntese og P&R kan kjøres utenfor ClearCase. Kildefiler og resultatfiler versjonskontrolleres med ClearCase. Kun tekst filer (Emacs med VHDL editor).

15 Design hierarki Ren RTL løvnode og str modul struktur moduler på hvert nivå; ikke for små og ikke for store... Navn regler for filer viser type: (?_ent.vhd,?_rtl.vhd,?_str.vhd,?_pck.vhd,?_bdy.vhd, osv.) Adresse map og globale design typer + konstanter i en package.

16 Design eksempel TOP CHIP SCOPE PRDTEST CORE CRU PIF EPS1 EPS2 EPS3 CLKMULT (DCM) RSTSYNC CLKCON CLKDIV TIC TSC SIC TIC_TX TIC_RX TIC_REG TSC_MN 2 x RAM SIC_TX SIC_RX SIC_REG SIC_FIFO 4 x RAM 1 x ROM TIC_DV TIC_RXIN TIC_MON TIC_TSIN TIC_ESD TIC_FIFO RAM Teknologi (Spartan3, Virtex4, osv.) i modulene Top og CRU, og RAM/ROM i str arkitekturer. ChipScope i for eksempel Spartan3_1000 for lab. test, men fjernet i pin kompatibel Spartan3_400 pga. for få RAM moduler. Asynkron prosessor aksess til registre og RAM gjennom PIF. Interrupt til prosessor via PIF modul. Synkron intern bus til alle registre i REG og RAM moduler. Modifisert gjenbruk av VHDL kode for REG modulene. Bare antall registre og register navn forskjellig

17 VHDL packages Memory map og felles typer + konstanter (syntetiserbar) Project testbench KDA testbench Std_developerskit.iopak

18 Memory Map package Prosjekt VHDL memory map package for FPGA syntese kode Modul og toppnivå testbenker Kilde for SW memory map Eksempel: subtype reg_addr is std_logic_vector(15 downto 0); constant rega : reg_addr:= x 0000 ; -- U8 constant regb : reg_addr:= x 0001 ; -- U8 constant regc : reg_addr:= x 0002 ; -- U8 constant regd : reg_addr:= x 0004 ; -- U16 constant rege : reg_addr:= x 0008 ; -- U32

19 Parallellisering og pipelining Design blir vanligvis delt i overordnet kontroll struktur og datapath. Ferdigstille overordnet kontroll struktur først ( mem versjon) Eksterne prosessor grensesnitt (PIF). Intern aksess til registre, RAM, osv. Ekstra funksjonalitet for å teste interrupt. Legger til registre slik at test SW kan styre interrupt. Evnt. prosessor kommunikasjon mellom intern og ekstern prosessor. Komplett krets med Top, Core, CRU, osv. Intet blindspor. Dummy moduler hvor alle innganger er koblet mot alle utganger evnt. gjennom et register (pga. verktøy problemer). SW må lage PIF test program for REG/RAM aksess og interrupt testing. Datapath og datapath kontroll Full eller evnt. inkrementell release av datapath (f. eks. EuroCom og E1). Evnt. inkrementell verifikasjon Kan gi endringer på moduler som inngår i mem versjonen. Evnt. dummy versjon for kretskort testing som verifiserer FPGA lasting.

20 Simulering vs. lab. debug Meget viktig med gjennomsimulert design og gode testbenker før lab. testing begynner. Alle warnings fra simulatorer, syntese og P&R verktøy skal være fjernet, eller forklart og bestemt at de ikke skal/kan fjernes. Vi gjør Design for DAK (DFD ). Bruker ChipScope (el.lign.) for å finne interne FPGA bug s, men mest av alt for å avsløre feil/misforståelser i ekstern funksjonalitet og timing. Feil i design og testbenk skal identifiseres ved simulering og deretter rettes og simuleres før ny lab. testing. Ikke tro, men vite at denne feilen er rettet!! Simuleringsmiljøet brukes dermed aktivt under lab./system test.

21 Konklusjon Utviklingsprosess med koderegler, navnregler, sjekklister, dokumentasjonsmaler, filstruktur, scriptmaler, osv. Vedtatt design metodikk følges og kontrolleres i design reviews. Gjennomsimulert design. Parallellisering og pipelining av utvikling og lab. test (f. eks. dmy, mem, EuroCom, E1, final). Simuleringsmiljøet brukes aktivt ved lab./system test

FPGA/ASIC koderegler og designmetodikk Hvordan en FPGA designer kan være minst mulig på lab en

FPGA/ASIC koderegler og designmetodikk Hvordan en FPGA designer kan være minst mulig på lab en FPGA/ASIC koderegler og designmetodikk Hvordan en FPGA designer kan være minst mulig på lab en 1 Agenda Utviklingsprosessen for FPGA og ASIC i Kongsberg Defence Systems Design regler Parallellisering og

Detaljer

INF3430 H2010. FPGA/ASIC koderegler og designmetodikk. Hvordan en FPGA designer kan være minst mulig på lab en

INF3430 H2010. FPGA/ASIC koderegler og designmetodikk. Hvordan en FPGA designer kan være minst mulig på lab en INF3430 H2010 FPGA/ASIC koderegler og designmetodikk Hvordan en FPGA designer kan være minst mulig på lab en 1 Agenda Utviklingsprosessen i KDA Design regler Parallellisering og pipelining Simulering vs.

Detaljer

INF3430/4431. VHDL byggeblokker og testbenker

INF3430/4431. VHDL byggeblokker og testbenker INF3430/4431 VHDL byggeblokker og testbenker Entity/architecture Innhold Strukturelle design (nettliste) Generics Configurations Operatorer-Operator prioritet (precedence) Datatyper Bit / IEEE1164 std_ulogic

Detaljer

Kombinatorisk og synkron logikk. Kapittel 4

Kombinatorisk og synkron logikk. Kapittel 4 Kombinatorisk og synkron logikk Kapittel 4 Eksempel; FIFO First-In-First-Out Eksempelet i boka er en noe redusert fifo (mangler empty flag, full flag osv.), men har de viktigste elementene Denne FIFOen

Detaljer

INF3430/4430. Grunnleggende VHDL

INF3430/4430. Grunnleggende VHDL INF3430/4430 Grunnleggende VHDL 26.09.2005 20.57 Agenda Entity/architecture Strukturelle design (netlist) Generics Configurations Operatorer-Operator presedence Datatyper Bit / IEEE1164 Std_ulogic /std_logic

Detaljer

Tilstandsmaskiner (FSM) Kapittel 5

Tilstandsmaskiner (FSM) Kapittel 5 Tilstandsmaskiner (FSM) Kapittel 5 1) Sette opp tilstandsdiagram Tradisjonell konstruksjonsmetode 2) Sette opp tilstandstabell ut fra tilstandsdiagrammet Nåværende tilstand (PS) og input Neste tilstand

Detaljer

INF3430. Fasit eksamen Høst 2009. Oppgave 1 6. Oppgave A B C D E 1 X X 2 X 3 X X 4 X X 5 X X 6 X

INF3430. Fasit eksamen Høst 2009. Oppgave 1 6. Oppgave A B C D E 1 X X 2 X 3 X X 4 X X 5 X X 6 X INF3430. Fasit eksamen Høst 2009. Oppgave 1 6. Oppgave A B C D E 1 X X 2 X 3 X X 4 X X 5 X X 6 X INF3430 Eksamen H09 VHDL besvarelse Oppgave 7: signal_values INF3430 - H09 1 INF3430 Eksamen H09 VHDL besvarelse

Detaljer

INF3430/4430. Grunnleggende VHDL. 11-Sep-06

INF3430/4430. Grunnleggende VHDL. 11-Sep-06 INF3430/4430 Grunnleggende VHDL 11-Sep-06 Agenda Entity/architecture Strukturelle design (netlist) Generics Configurations Operatorer-Operator presedence Datatyper Bit / IEEE1164 Std_ulogic /std_logic

Detaljer

DM6814/DM5814 User s Manual

DM6814/DM5814 User s Manual (Real Time Devices) Table 1-1 Factory Settings Switch/ Jumper Function Controlled Factory Settings (Jumpers Installed) P4 Connects a P14 jumper selectable interrupt source to an interrupt

Detaljer

Synkron logikk. Sekvensiell logikk; to typer:

Synkron logikk. Sekvensiell logikk; to typer: Sekvensiell logikk De fleste digitale systemer har også minneelementer (f.eks flipflopper) i tillegg til kombinatorisk logikk, og kalles da sekvensiell logikk Output i en sekvensiell krets er avhengig

Detaljer

Unit Relational Algebra 1 1. Relational Algebra 1. Unit 3.3

Unit Relational Algebra 1 1. Relational Algebra 1. Unit 3.3 Relational Algebra 1 Unit 3.3 Unit 3.3 - Relational Algebra 1 1 Relational Algebra Relational Algebra is : the formal description of how a relational database operates the mathematics which underpin SQL

Detaljer

Store design. Kapittel 6

Store design. Kapittel 6 Store design Kapittel 6 Hierarki hvorfor bruke det Dele opp designet i håndterbare designenheter. Fokusere på mindre, håndterbare enheter vil føre til færre feil og raskere debugging av feil. Verifisere

Detaljer

INF3430. VHDL byggeblokker og testbenker

INF3430. VHDL byggeblokker og testbenker INF3430 VHDL byggeblokker og Innhold Entity/architecture Strukturelle design (nettliste) Generics Configurations Operatorer-Operator prioritet (precedence) Datatyper Bit / IEEE1164 std_ulogic /std_logic

Detaljer

INF3430/4431. VHDL byggeblokker og testbenker forts.

INF3430/4431. VHDL byggeblokker og testbenker forts. INF343/4431 VHDL byggeblokker og testbenker forts. Innhold IEEE 1164 std_logic Configurations Kombinatoriske kretser forts. Concurrent(dataflow) beskrivelser Beskrivelser ved bruk av process Testbenker

Detaljer

INF3430/4431 Høsten Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter

INF3430/4431 Høsten Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter INF343/443 Høsten 2 Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter Innledning. Målene med denne laboppgaven er å lære om subprogrammer og biblioteker i VHDL

Detaljer

INF 3430/4430. Viktige momenter i syntese og for valg av teknologi

INF 3430/4430. Viktige momenter i syntese og for valg av teknologi INF 3430/4430 Viktige momenter i syntese og for valg av teknologi 17.10.2007 Agenda RTL syntese Constraints Pipelining Syntese for FPGA Behavorial syntese INF3430/4430 Side 2 RTL/ Behavorial syntese RTL

Detaljer

MID-TERM EXAM TDT4258 MICROCONTROLLER SYSTEM DESIGN. Wednesday 3 th Mars Time:

MID-TERM EXAM TDT4258 MICROCONTROLLER SYSTEM DESIGN. Wednesday 3 th Mars Time: Side 1 av 8 Norwegian University of Science and Technology DEPARTMENT OF COMPUTER AND INFORMATION SCIENCE MID-TERM EXAM TDT4258 MICROCONTROLLER SYSTEM DESIGN Wednesday 3 th Mars 2010 Time: 1615-1745 Allowed

Detaljer

Oppgave 1 En 4-input Xilinx LUT med innhold 9009 (hex) realiserer en: A xor-xor-or B xor-xor-nand C xor-xor-nor D xor-xor-and E xor-xor-xor

Oppgave 1 En 4-input Xilinx LUT med innhold 9009 (hex) realiserer en: A xor-xor-or B xor-xor-nand C xor-xor-nor D xor-xor-and E xor-xor-xor Oppgave 1 En 4-input Xilinx LU med innhold 9009 (hex) realiserer en: Oppgave 2 PGA-teknologi A xor-xor-or B xor-xor-nand C xor-xor-nor D xor-xor-and E xor-xor-xor A orbindslinjer mellom LU er har vanligvis

Detaljer

INF3430/4431. VHDL byggeblokker og testbenker forts.

INF3430/4431. VHDL byggeblokker og testbenker forts. INF3430/4431 VHDL byggeblokker og testbenker forts. Innhold Kombinatoriske kretser forts. Concurrent(dataflow) beskrivelser Beskrivelser ved bruk av process Testbenker for kombinatoriske kretser Stimuli

Detaljer

Entities and architectures. Kapittel 3

Entities and architectures. Kapittel 3 Entities and architectures Kapittel 3 VHDL program Én fil Entities and architectures Entity declaration og architecture body Analogi til en IC: Entiteten beskriver interfacet til omgivelsen (pakkens tilkoblingspinner)

Detaljer

Simulering, syntese og verifikasjon (Max kap. 19)

Simulering, syntese og verifikasjon (Max kap. 19) Simulering, syntese og verifikasjon (Max kap. 19) Innhold: Simuleringsmetoder Hendelsesbasert Cyclebasert Plassering av design i FPGA (syntese) Verifikasjon INF3430 - H11 1 Hendelsdrevet simulering 10ps

Detaljer

TELE2010A Digital Systemkonstruksjon

TELE2010A Digital Systemkonstruksjon TELE2010A Digital Systemkonstruksjon Øving 3/2015 Del 1, Teller: Husk å arbeide i det lokale arbeidsområdet på disken. Kopier filene til serveren når dere er ferdig for å kunne bruke dem neste gang. max_tall

Detaljer

INF3430/4431. Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA

INF3430/4431. Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA INF3430/4431 Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA Agenda Hva skal vi gjøre i INF3430/4431? VDHL simulering/syntese Place & Route til FPGA Prøve ut design i ekte hardware Hvorfor VHDL

Detaljer

Du må håndtere disse hendelsene ved å implementere funksjonene init(), changeh(), changev() og escape(), som beskrevet nedenfor.

Du må håndtere disse hendelsene ved å implementere funksjonene init(), changeh(), changev() og escape(), som beskrevet nedenfor. 6-13 July 2013 Brisbane, Australia Norwegian 1.0 Brisbane har blitt tatt over av store, muterte wombater, og du må lede folket i sikkerhet. Veiene i Brisbane danner et stort rutenett. Det finnes R horisontale

Detaljer

Hvordan føre reiseregninger i Unit4 Business World Forfatter:

Hvordan føre reiseregninger i Unit4 Business World Forfatter: Hvordan føre reiseregninger i Unit4 Business World Forfatter: dag.syversen@unit4.com Denne e-guiden beskriver hvordan du registrerer en reiseregning med ulike typer utlegg. 1. Introduksjon 2. Åpne vinduet

Detaljer

Dynamic Programming Longest Common Subsequence. Class 27

Dynamic Programming Longest Common Subsequence. Class 27 Dynamic Programming Longest Common Subsequence Class 27 Protein a protein is a complex molecule composed of long single-strand chains of amino acid molecules there are 20 amino acids that make up proteins

Detaljer

Løsningsforslag til slutteksamen i SESM3401 Styring av mekatroniske systemer

Løsningsforslag til slutteksamen i SESM3401 Styring av mekatroniske systemer Høgskolen i Buskerud Løsningsforslag til slutteksamen i SESM3401 Styring av mekatroniske systemer Utarbeidet av Finn Haugen, emnets lærer. Eksamensdato: Mandag 11. desember 2006. Varighet: 4 timer. Vekt

Detaljer

TwidoSuite kommunikasjon

TwidoSuite kommunikasjon TwidoSuite kommunikasjon TwidoSuite kursunderlag: Kommunikasjon via Modbus seriell, Ethernet, Remote link, ASCII, CanOpen og AS-i. Macroer for kommunikasjon Modbus 2 Modbus port Bruk programmeringsporten

Detaljer

INF3430. VHDL byggeblokker og testbenker forts.

INF3430. VHDL byggeblokker og testbenker forts. INF343 VHDL byggeblokker og testbenker forts. Innhold Kombinatoriske kretser forts. Concurrent(dataflow) beskrivelser Beskrivelser ved bruk av process Testbenker for kombinatoriske kretser Stimuli Sammenligning

Detaljer

EMPIC MEDICAL. Etterutdanningskurs flyleger 21. april Lars (Lasse) Holm Prosjektleder Telefon: E-post:

EMPIC MEDICAL. Etterutdanningskurs flyleger 21. april Lars (Lasse) Holm Prosjektleder Telefon: E-post: EMPIC MEDICAL Etterutdanningskurs flyleger 21. april 2017 Lars (Lasse) Holm Prosjektleder Telefon: +47 976 90 799 E-post: Lrh@caa.no it-vakt@caa.no Luftfartstilsynet T: +47 75 58 50 00 F: +47 75 58 50

Detaljer

Design med ASIC og FPGA (Max kap.7 og 18)

Design med ASIC og FPGA (Max kap.7 og 18) Design med ASIC og FPGA (Max kap.7 og 18) Innhold: Begrensninger/muligheter å ta hensyn til ved FPGA design som en normalt slipper å tenke på med ASIC design. Migrering mellom FPGA og ASIC INF3430 - H12

Detaljer

Versjon2.0/ ChipScope PRO - En kort innføring

Versjon2.0/ ChipScope PRO - En kort innføring Versjon2.0/29.09.2013 ChipScope PRO - En kort innføring Innhold Innledning...3 Generering av Chipscope kjerner...4 Generering av ICON (Integrated Controller) modul...6 Generering av ILA (Integrated Logic

Detaljer

INF 3430/4430. Simuleringsmetodikk

INF 3430/4430. Simuleringsmetodikk INF 3430/4430 Simuleringsmetodikk 02.11.2005 Agenda Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Verifikasjon av syntetisert/plassert design mot RTL-kode Fil-operasjoner

Detaljer

INF3430/4430. Kombinatoriske og sekvensielle byggeblokker implementert i VHDL :57

INF3430/4430. Kombinatoriske og sekvensielle byggeblokker implementert i VHDL :57 INF3430/4430 Kombinatoriske og sekvensielle byggeblokker implementert i VHDL 26.09.2005 20:57 Agenda Kombinatoriske kretser forts. Concurrent(dataflow) beskrivelser Beskrivelser ved bruk av process Testbenker

Detaljer

Slope-Intercept Formula

Slope-Intercept Formula LESSON 7 Slope Intercept Formula LESSON 7 Slope-Intercept Formula Here are two new words that describe lines slope and intercept. The slope is given by m (a mountain has slope and starts with m), and intercept

Detaljer

INF3430 Høsten ChipScope PRO - En kort innføring

INF3430 Høsten ChipScope PRO - En kort innføring INF3430 Høsten 2008 ChipScope PRO - En kort innføring Innhold Innledning... 3 Generering av Chipscope kjerner... 4 Generering av ICON (Integrated Controller) modul... 4 Generering av ILA (Integrated Logic

Detaljer

INF 3430/4431. Simuleringsmetodikk

INF 3430/4431. Simuleringsmetodikk INF 3430/4431 Simuleringsmetodikk Innhold Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Fil-operasjoner Eksempel på SRAM modell og simulering av lesing fra denne INF3430/4431

Detaljer

Neural Network. Sensors Sorter

Neural Network. Sensors Sorter CSC 302 1.5 Neural Networks Simple Neural Nets for Pattern Recognition 1 Apple-Banana Sorter Neural Network Sensors Sorter Apples Bananas 2 Prototype Vectors Measurement vector p = [shape, texture, weight]

Detaljer

Public roadmap for information management, governance and exchange. 2015-09-15 SINTEF david.norheim@brreg.no

Public roadmap for information management, governance and exchange. 2015-09-15 SINTEF david.norheim@brreg.no Public roadmap for information management, governance and exchange 2015-09-15 SINTEF david.norheim@brreg.no Skate Skate (governance and coordination of services in egovernment) is a strategic cooperation

Detaljer

HONSEL process monitoring

HONSEL process monitoring 6 DMSD has stood for process monitoring in fastening technology for more than 25 years. HONSEL re- rivet processing back in 990. DMSD 2G has been continuously improved and optimised since this time. All

Detaljer

5 E Lesson: Solving Monohybrid Punnett Squares with Coding

5 E Lesson: Solving Monohybrid Punnett Squares with Coding 5 E Lesson: Solving Monohybrid Punnett Squares with Coding Genetics Fill in the Brown colour Blank Options Hair texture A field of biology that studies heredity, or the passing of traits from parents to

Detaljer

INF 3430/4430. Simuleringsmetodikk

INF 3430/4430. Simuleringsmetodikk INF 3430/4430 Simuleringsmetodikk Innhold Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Fil-operasjoner Eksempel på SRAM modell og simulering av lesing fra denne INF3430 Side

Detaljer

Databases 1. Extended Relational Algebra

Databases 1. Extended Relational Algebra Databases 1 Extended Relational Algebra Relational Algebra What is an Algebra? Mathematical system consisting of: Operands --- variables or values from which new values can be constructed. Operators ---

Detaljer

Agenda Funksjoner og prosedyrer. Funksjoner

Agenda Funksjoner og prosedyrer. Funksjoner Aga Funksjoner og prosedyrer Funksjoner Operatorer Standard funksjoner/operatorer Overloading Package og Package body Operator inferencing Prosedyrer Side 1 Funksjoner(1) Benyttes mye i modeller for simulering

Detaljer

Oppgave 1a Definer følgende begreper: Nøkkel, supernøkkel og funksjonell avhengighet.

Oppgave 1a Definer følgende begreper: Nøkkel, supernøkkel og funksjonell avhengighet. TDT445 Øving 4 Oppgave a Definer følgende begreper: Nøkkel, supernøkkel og funksjonell avhengighet. Nøkkel: Supernøkkel: Funksjonell avhengighet: Data i en database som kan unikt identifisere (et sett

Detaljer

Call function of two parameters

Call function of two parameters Call function of two parameters APPLYUSER USER x fµ 1 x 2 eµ x 1 x 2 distinct e 1 0 0 v 1 1 1 e 2 1 1 v 2 2 2 2 e x 1 v 1 x 2 v 2 v APPLY f e 1 e 2 0 v 2 0 µ Evaluating function application The math demands

Detaljer

Exercise 1: Phase Splitter DC Operation

Exercise 1: Phase Splitter DC Operation Exercise 1: DC Operation When you have completed this exercise, you will be able to measure dc operating voltages and currents by using a typical transistor phase splitter circuit. You will verify your

Detaljer

Avdeling for ingeniørutdanning Institutt for teknologi

Avdeling for ingeniørutdanning Institutt for teknologi Avdeling for ingeniørutdanning Institutt for teknologi Oppgavetittel: Obligatorisk prosjektoppgave 1 Fag(nr./navn): Maskinvareutvikling DMVA-2060 Gruppemedlemmer: T. Alexander Lystad Faglærer: Zoran Dokic

Detaljer

UNIVERSITY OF OSLO DEPARTMENT OF ECONOMICS

UNIVERSITY OF OSLO DEPARTMENT OF ECONOMICS UNIVERSITY OF OSLO DEPARTMENT OF ECONOMICS Postponed exam: ECON420 Mathematics 2: Calculus and linear algebra Date of exam: Tuesday, June 8, 203 Time for exam: 09:00 a.m. 2:00 noon The problem set covers

Detaljer

INF3430/4431 Høsten Laboppgave 4 System on Chip (SoC) konstruksjon

INF3430/4431 Høsten Laboppgave 4 System on Chip (SoC) konstruksjon INF3430/4431 Høsten 2011 Laboppgave 4 System on Chip (SoC) konstruksjon 1 Innledning. Hovedmålet med denne laboppgaven er at dere skal lære å lage et såkalt System on Chip (SoC) hvor det skal legges inn

Detaljer

Forelesning III Kap 8 & 7; Dagsplan. Gjenbruk. Condition synchronization. Gjennomgående eksempler. Kode: Design: Verktøy

Forelesning III Kap 8 & 7; Dagsplan. Gjenbruk. Condition synchronization. Gjennomgående eksempler. Kode: Design: Verktøy Forelesning III Kap 8 & 7; Dagsplan Moral: Gjenbruk Kap 8: Shared variable-based synchronization and communication Condition synchronization Mutual Exclution Conditional Critical Regions Suspend & Resume

Detaljer

AvtaleGiro beskrivelse av feilmeldinger for oppdrag og transaksjoner kvitteringsliste L00202 levert i CSV fil

AvtaleGiro beskrivelse av feilmeldinger for oppdrag og transaksjoner kvitteringsliste L00202 levert i CSV fil AvtaleGiro beskrivelse av feilmeldinger for oppdrag og transaksjoner kvitteringsliste L00202 levert i CSV fil Kvitteringsliste L00202 for avviste oppdrag, transaksjoner og informasjonsmeldinger CSV Format:

Detaljer

Maple Basics. K. Cooper

Maple Basics. K. Cooper Basics K. Cooper 2012 History History 1982 Macsyma/MIT 1988 Mathematica/Wolfram 1988 /Waterloo Others later History Why? Prevent silly mistakes Time Complexity Plots Generate LATEX This is the 21st century;

Detaljer

INF3430/4430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430/4430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430/4430 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer 19.09.2006 Agenda Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard

Detaljer

Moving Objects. We need to move our objects in 3D space.

Moving Objects. We need to move our objects in 3D space. Transformations Moving Objects We need to move our objects in 3D space. Moving Objects We need to move our objects in 3D space. An object/model (box, car, building, character,... ) is defined in one position

Detaljer

WORLD CLASS through people, technology and dedication INF5430

WORLD CLASS through people, technology and dedication INF5430 WORLD CLASS through people, technology and dedication WORLD CLASS through people, technology and dedication 1 Kommandostyrte testbenker i VHDL Historikk Ønskeliste Kommandospråk Adresseliste generering

Detaljer

INF3430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer Innhold Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard biblioteker

Detaljer

SAS FANS NYTT & NYTTIG FRA VERKTØYKASSA TIL SAS 4. MARS 2014, MIKKEL SØRHEIM

SAS FANS NYTT & NYTTIG FRA VERKTØYKASSA TIL SAS 4. MARS 2014, MIKKEL SØRHEIM SAS FANS NYTT & NYTTIG FRA VERKTØYKASSA TIL SAS 4. MARS 2014, MIKKEL SØRHEIM 2 TEMA 1 MULTIPROSESSERING MED DATASTEGET Multiprosessering har lenge vært et tema i SAS Stadig ny funksjonalitet er med på

Detaljer

UNIVERSITETET I OSLO ØKONOMISK INSTITUTT

UNIVERSITETET I OSLO ØKONOMISK INSTITUTT 1 UNIVERSITETET I OSLO ØKONOMISK INSTITUTT BOKMÅL Utsatt eksamen i: ECON2915 Vekst og næringsstruktur Eksamensdag: 07.12.2012 Tid for eksamen: kl. 09:00-12:00 Oppgavesettet er på 5 sider Tillatte hjelpemidler:

Detaljer

PSi Apollo. Technical Presentation

PSi Apollo. Technical Presentation PSi Apollo Spreader Control & Mapping System Technical Presentation Part 1 System Architecture PSi Apollo System Architecture PSi Customer label On/Off switch Integral SD card reader/writer MENU key Typical

Detaljer

- Vennlig hilsen gründerne bak retyre

- Vennlig hilsen gründerne bak retyre Etter to år med utvikling og testing er retyre endelig klar for å møte den norske vinteren. Det begynte med en idé om en enkel sykkelkjetting, og endte opp med verdens første modulære sykkeldekk. Vi håper

Detaljer

Den europeiske byggenæringen blir digital. hva skjer i Europa? Steen Sunesen Oslo,

Den europeiske byggenæringen blir digital. hva skjer i Europa? Steen Sunesen Oslo, Den europeiske byggenæringen blir digital hva skjer i Europa? Steen Sunesen Oslo, 30.04.2019 Agenda 1. 2. CEN-veileder til ISO 19650 del 1 og 2 3. EFCA Guide Oppdragsgivers krav til BIMleveranser og prosess.

Detaljer

FYS 3270(4270) Data-assistert konstruksjon av kretselektronikk (tidligere Fys 329) Fys3270(4270)

FYS 3270(4270) Data-assistert konstruksjon av kretselektronikk (tidligere Fys 329) Fys3270(4270) FYS 3270(4270) Data-assistert konstruksjon av kretselektronikk (tidligere Fys 329) Forelesere Jørgen Norendal, Universitetslektor Fieldbus International AS Jan Kenneth Bekkeng, Stipendiat Kosmisk fysikk

Detaljer

INF3430/4430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430/4430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430/4430 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer 2005-10-26 Agenda Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard

Detaljer

Det matematisk-naturvitenskapelige fakultet. INF4431 Digital systemkonstruksjon

Det matematisk-naturvitenskapelige fakultet. INF4431 Digital systemkonstruksjon Side 1 UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF4431 Digital systemkonstruksjon Eksamensdag: 7. desember 2011 Tid for eksamen: 9-13 Oppgavesettet er på 11 sider Vedlegg:

Detaljer

Endelig ikke-røyker for Kvinner! (Norwegian Edition)

Endelig ikke-røyker for Kvinner! (Norwegian Edition) Endelig ikke-røyker for Kvinner! (Norwegian Edition) Allen Carr Click here if your download doesn"t start automatically Endelig ikke-røyker for Kvinner! (Norwegian Edition) Allen Carr Endelig ikke-røyker

Detaljer

Andrew Gendreau, Olga Rosenbaum, Anthony Taylor, Kenneth Wong, Karl Dusen

Andrew Gendreau, Olga Rosenbaum, Anthony Taylor, Kenneth Wong, Karl Dusen Andrew Gendreau, Olga Rosenbaum, Anthony Taylor, Kenneth Wong, Karl Dusen The Process Goal Definition Data Collection Data Preprocessing EDA Choice of Variables Choice of Method(s) Performance Evaluation

Detaljer

buildingsmart Norge seminar Gardermoen 2. september 2010 IFD sett i sammenheng med BIM og varedata

buildingsmart Norge seminar Gardermoen 2. september 2010 IFD sett i sammenheng med BIM og varedata buildingsmart Norge seminar Gardermoen 2. september 2010 IFD sett i sammenheng med BIM og varedata IFD International Framework for Dictionaries Hvordan bygges en BIM? Hva kan hentes ut av BIM? Hvordan

Detaljer

EXAM TTM4128 SERVICE AND RESOURCE MANAGEMENT EKSAM I TTM4128 TJENESTE- OG RESSURSADMINISTRASJON

EXAM TTM4128 SERVICE AND RESOURCE MANAGEMENT EKSAM I TTM4128 TJENESTE- OG RESSURSADMINISTRASJON Side 1 av 5 NTNU Norges teknisk-naturvitenskapelige universitet Institutt for telematikk EXAM TTM4128 SERVICE AND RESOURCE MANAGEMENT EKSAM I TTM4128 TJENESTE- OG RESSURSADMINISTRASJON Contact person /

Detaljer

Norges ledende bedrift innen Linux og åpen programvare

Norges ledende bedrift innen Linux og åpen programvare Velkommen til Linpro Norges ledende bedrift innen Linux og åpen programvare Kort om Varnish Dag-Erling Smørgrav Senior Programvareutvikler Fagansvarlig C / C++ 2007-04-26 Hva er Varnish? HTTP-akselerator,

Detaljer

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE Emne: Gruppe(r): 2E Eksamensoppgaven består av: ELEKTRONIKK II Antall sider (inkl. forsiden): 4 Emnekode: SO 313E Dato: 5. juni 2003 Antall oppgaver: 8 Faglig

Detaljer

GeWare: A data warehouse for gene expression analysis

GeWare: A data warehouse for gene expression analysis GeWare: A data warehouse for gene expression analysis T. Kirsten, H.-H. Do, E. Rahm WG 1, IZBI, University of Leipzig www.izbi.de, dbs.uni-leipzig.de Outline Motivation GeWare Architecture Annotation Integration

Detaljer

Rom-Linker Software User s Manual

Rom-Linker Software User s Manual Rom-Linker Software User s Manual Tel.: +886-2-2274-1347 Fax. +886-2-2273-3014 Http://www.hmt.com.tw e-mail: hmtsales@hmt.com.tw Contents Catalogue Page (1) Rom-Linker Starting Screen... 01 (2) Rom-Linker

Detaljer

Institutt for biovitenskap

Institutt for biovitenskap Institutt for biovitenskap Oppslag for alle avtrekksskap: Alle avtrekksskap skal ha forklaring på alarmsystem på det enkelte skap. Dette varier fra skap til skap. e.g. på IBV finnes det minst 3 ulike typer.

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i MAT2400 Analyse 1. Eksamensdag: Onsdag 15. juni 2011. Tid for eksamen: 09.00 13.00 Oppgavesettet er på 6 sider. Vedlegg: Tillatte

Detaljer

Fys 3270/4270 høsten Laboppgave 2: Grunnleggende VHDL programmering. Styring av testkortets IO enheter.

Fys 3270/4270 høsten Laboppgave 2: Grunnleggende VHDL programmering. Styring av testkortets IO enheter. Fys 3270/4270 høsten 2004 Laboppgave 2: Grunnleggende VHDL programmering. Styring av testkortets IO enheter. Innledning. Målet med denne laboppgaven er at dere skal lære å lage enkle hardware beskrivelser

Detaljer

Design med ASIC og FPGA (Max kap.7 og 18)

Design med ASIC og FPGA (Max kap.7 og 18) Design med ASIC og FPGA (Max kap.7 og 18) Innhold: Begrensninger/muligheter å ta hensyn til ved FPGA design som en normalt slipper å tenke på med ASIC design. Migrering mellom FPGA og ASIC INF3430 - H10

Detaljer

INF3430/4431. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430/4431. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430/4431 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer Innhold Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard biblioteker

Detaljer

Start MATLAB. Start NUnet Applications Statistical and Computational packages MATLAB Release 13 MATLAB 6.5

Start MATLAB. Start NUnet Applications Statistical and Computational packages MATLAB Release 13 MATLAB 6.5 Start MATLAB Start NUnet Applications Statistical and Computational packages MATLAB Release 13 MATLAB 6.5 Prompt >> will appear in the command window Today: MATLAB overview In-class HW: Chapter 1, Problems

Detaljer

Uke 5. Magnus Li INF /

Uke 5. Magnus Li INF / Uke 5 Magnus Li magl@ifi.uio.no INF3290 26/27.09.2017 Repetisjon av begreper Diskusjonsoppgaver I første innlevering ønsker vi et brukerperspektiv i et informasjonssystem - Hva kan inngå i et slikt informasjonssystem?

Detaljer

SAS-feil kavalkade. Viggo Skar Oslo Universitetssykehus HF (OUS)

SAS-feil kavalkade. Viggo Skar Oslo Universitetssykehus HF (OUS) SAS-feil kavalkade Viggo Skar vigska@ous-hf.no Oslo Universitetssykehus HF (OUS) Litt om meg: Født 1973. Jobbet med SAS siden 1999. Begynte i forsikring, har jobbet i helse siden 2009. Kaller meg selv

Detaljer

API: Application programming interface, eller programmeringsgrensesnitt

API: Application programming interface, eller programmeringsgrensesnitt API: Application programming interface, eller programmeringsgrensesnitt 1 Interface 1: Cockpit i F16 2 Interface 2: GUI GUI: Graphical user interface The first Graphical User Interface on the XeroxStar

Detaljer

Smart High-Side Power Switch BTS730

Smart High-Side Power Switch BTS730 PG-DSO20 RoHS compliant (green product) AEC qualified 1 Ω Ω µ Data Sheet 1 V1.0, 2007-12-17 Data Sheet 2 V1.0, 2007-12-17 Ω µ µ Data Sheet 3 V1.0, 2007-12-17 µ µ Data Sheet 4 V1.0, 2007-12-17 Data Sheet

Detaljer

FIRST LEGO League. Härnösand 2012

FIRST LEGO League. Härnösand 2012 FIRST LEGO League Härnösand 2012 Presentasjon av laget IES Dragons Vi kommer fra Härnosänd Snittalderen på våre deltakere er 11 år Laget består av 4 jenter og 4 gutter. Vi representerer IES i Sundsvall

Detaljer

Fra sekvensielt til parallelt

Fra sekvensielt til parallelt Fra sekvensielt til parallelt «Sanntidprogrammering etter 34 år» Øyvind Teig senior utviklingsingeniør Autronica Fire and Security, «a UTC company» Gjesteforelesning på Høgskolen i Sør-Trøndelag (HiST)

Detaljer

En praktisk innføring i team-basert læring

En praktisk innføring i team-basert læring En praktisk innføring i team-basert læring Børge Lillebo borge.lillebo@ntnu.no Frank Alexander Kraemer kraemer@item.ntnu.no Teambasert Læring utviklet av Larry K. Michaelsen i USA aktiv læring flipped

Detaljer

INF3430/4431. Viktige momenter i syntese og for valg av teknologi Chipscope

INF3430/4431. Viktige momenter i syntese og for valg av teknologi Chipscope INF3430/4431 Viktige momenter i syntese og for valg av teknologi Chipscope Agenda RTL syntese Constraints Pipelining Syntese for FPGA Chipscope INF3430/4431 2 RTL/ Behavorial syntese RTL (Register Transfer

Detaljer

Profile handbook. for

Profile handbook. for Profile handbook for March 2007 Logo For the logo, we have chosen a shape in conformity with the general visual direction. The logo is inspired by the shape of the product, and the circle also creates

Detaljer

UNIVERSITETET I OSLO ØKONOMISK INSTITUTT

UNIVERSITETET I OSLO ØKONOMISK INSTITUTT UNIVERSITETET I OSLO ØKONOMISK INSTITUTT Eksamen i: ECON30/40 Matematikk : Matematisk analyse og lineær algebra Exam: ECON30/40 Mathematics : Calculus and Linear Algebra Eksamensdag: Tirsdag 0. desember

Detaljer

Graphs similar to strongly regular graphs

Graphs similar to strongly regular graphs Joint work with Martin Ma aj 5th June 2014 Degree/diameter problem Denition The degree/diameter problem is the problem of nding the largest possible graph with given diameter d and given maximum degree

Detaljer

Physical origin of the Gouy phase shift by Simin Feng, Herbert G. Winful Opt. Lett. 26, (2001)

Physical origin of the Gouy phase shift by Simin Feng, Herbert G. Winful Opt. Lett. 26, (2001) by Simin Feng, Herbert G. Winful Opt. Lett. 26, 485-487 (2001) http://smos.sogang.ac.r April 18, 2014 Introduction What is the Gouy phase shift? For Gaussian beam or TEM 00 mode, ( w 0 r 2 E(r, z) = E

Detaljer

Verktøy for å håndtere siteringer og referanser i masteroppgaven. Citation and reference tools for your master thesis. Citations and references

Verktøy for å håndtere siteringer og referanser i masteroppgaven. Citation and reference tools for your master thesis. Citations and references Citation and reference tools for your master thesis Verktøy for å håndtere siteringer og referanser i masteroppgaven Citations and references The citation goes into the body text and points to the full

Detaljer

Trigonometric Substitution

Trigonometric Substitution Trigonometric Substitution Alvin Lin Calculus II: August 06 - December 06 Trigonometric Substitution sin 4 (x) cos (x) dx When you have a product of sin and cos of different powers, you have three different

Detaljer

ELSEMA 1, 2, 4-Channel 27MHz Transmitter FMT312E, FMT31202E, FMT31204E

ELSEMA 1, 2, 4-Channel 27MHz Transmitter FMT312E, FMT31202E, FMT31204E FMT-312E, FMT-31202E, FMT-31204E 12V 1Watt 27MHz Transmitter Features 3 versions available 1-channel (FMT-312E), 2-channel (FMT- 31202E) and 4-channel (FMT-31204E) 1 Watt Transmitter with current consumption

Detaljer

SERVICE BULLETINE 2008-4

SERVICE BULLETINE 2008-4 S e r v i c e b u l l e t i n e M a t e r i e l l Materiellsjef F/NLF kommuniserer påminnelse omkring forhold som ansees som vesentlige for å orientere om viktige materiellforhold. Målgruppen for Servicbulletinen

Detaljer

SuperOffice hurtigstart guide

SuperOffice hurtigstart guide SuperOffice hurtigstart guide Searchdaimon ES (Enterprise Server) Innholdsfortegnelse Innlednig... 2 Instalere SuperOffice konektor... 3 Steg 2 Database driver... 4 Steg 3 Database setting... 5 Steg 4

Detaljer

UNIVERSITY OF OSLO DEPARTMENT OF ECONOMICS

UNIVERSITY OF OSLO DEPARTMENT OF ECONOMICS UNIVERSITY OF OSLO DEPARTMENT OF ECONOMICS English Exam: ECON2915 Economic Growth Date of exam: 25.11.2014 Grades will be given: 16.12.2014 Time for exam: 09.00 12.00 The problem set covers 3 pages Resources

Detaljer

Om Samba/fildeling. Hans Nordhaug 17.09.2013. Institutt for informatikk Høgskolen i Molde

Om Samba/fildeling. Hans Nordhaug 17.09.2013. Institutt for informatikk Høgskolen i Molde Om Samba/fildeling Hans Nordhaug Institutt for informatikk Høgskolen i Molde 17.09.2013 Tema 1 Introduksjon Om SMB Om Samba Hvorfor Samba? 2 Generelt Delte ressurser Server Message Block En protokoll for

Detaljer

IN2010: Algoritmer og Datastrukturer Series 2

IN2010: Algoritmer og Datastrukturer Series 2 Universitetet i Oslo Institutt for Informatikk S.M. Storleer, S. Kittilsen IN2010: Algoritmer og Datastrukturer Series 2 Tema: Grafteori 1 Publisert: 02. 09. 2019 Utvalgte løsningsforslag Oppgave 1 (Fra

Detaljer