AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE

Størrelse: px
Begynne med side:

Download "AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE"

Transkript

1 AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE Emne: Gruppe(r): 2E Eksamensoppgaven består av: ELEKTRONIKK II Antall sider (inkl. forsiden): 4 Emnekode: SO 313E Dato: 5. juni 2003 Antall oppgaver: 8 Faglig veileder: Bjørn Engebretsen Knut Harald Nygård Eksamenstid: Antall vedlegg: 1 Tillatte hjelpemidler: Alle godkjente Kandidaten må selv kontrollere at oppgavesettet er fullstendig. Ved eventuelle uklarheter i oppgaveteksten skal du redegjøre for de forutsetninger de legger til grunn for løsningen. Alle oppgaver teller likt. Utarbeidet av Kontrollert av (en av disse): (faglærer): Annen lærer Sensor Studieleder/ Fagkoordinator Studieleders/ Fagkoordinators underskrift: Avdeling for ingeniørutdanning Cort Adelersgate Oslo tlf: faks: iu@hio.no

2 Oppgave 1 a) En mikrokontroller er det naturlige valg som styringsenhet for en rekke applikasjoner. Hva er typisk for disse applikasjonene? b) En mikrokontroller kan programmeres i assembler eller et egnet høynivåspråk som f.eks C. Når velger vi assembler? c) Hvilke egenskaper ved assemblerspråket gjør at det ofte blir valgt? Oppgave 2 a) Når vi konfigurerer en mikrokontroller bruker vi en rekke registre. Hvilke typiske funksjoner styrer disse? b) Nevn tre typiske styringsregistre og angi hvilke funksjoner vi styrer med disse. c) Skriv et kort assembler program som setter et register til en ønsket verdi. d) Beskriv kort programmets funksjon. e) Skisser en typisk mikrokontroller arkitektur. Beskriv kort de enkelte elementene. Oppgave 3 a) En typisk mikrokontroller har flere typer avbrudd, interrupts. Nevn to forskjellige typer og forklar hvordan disse styrer mikrokontrollerens arbeidsmåte. b) Hvordan kobles et interrupt mot en interrupt rutine? c) Hvorfor benytter vi avbrudd, nevn to eksempler med begrunnelse. d) Hvorfor benytter vi.org instruksjonen? Oppgave 4 a) Med ordbredde mener vi antall bit i mikrokontrollerens programlager. Hvilke fordeler oppnår vi med en mikrokontroller med større ordbredde? Forklar innholdet i et ord. b) Forklar mekanismen Stack c) Hvorfor benyttet vi registrene R16 R31 på stk 500 kit

3 Oppgave 5 Vi ønsker å lage en 2 bit Flash Analog/Digital omformer (Flash ADC). Det forutsettes at referansespenningen er på 4 V og bare positive verdier omformes. a) Tegn overføringskarakteristikken (analog inn/digital ut) for denne omformeren. b) Tegn skjema for omformeren med motstandsnettverk (spenningsdeler), komparatorer og prioritetskoder. c) Forklar hvordan verdiene på motstandene i motstandsnettverket velges og beregn disse. Oppgave 6 Vi bruker OrCAD Capture til å tegne skjema for et kretskort med A/D-omformeren i Oppgave 5. Vi antar at utgangen av prioritetskoderen føres til et register som klokkes med en ekstern klokke, men at spenningsforsyningene må genereres på kortet. a) Forklar hva som må gjøres i OrCAD for å tegne skjemaet for kretskortet; det må gjøres rede for de forskjellige begrepene som bruk av skjemategningsprogrammet innebærer (hvordan får en tak i symboler, hvordan fås forbindelser, hvordan fås verdier etc.). b) Forklar forskjellen mellom DC Sweep og Time Domain (Transient) analyse for Pspice simulatoren. Hva vil du bruke disse to analysetypene til for A/D-omformeren i Oppgave 5? c) Forklar hva som må gjøres for å få lagt ut kretskortet med OrCAD Layout (når skjemaet tegnes med OrCAD Capture). Vi er her spesielt interessert i sammenhengen mellom symboler og fysisk utseende, konnektorer, definisjon av avstander, lederbredder etc. Oppgave 7 Vi ønsker å bruke en enkel zener regulator for å spenningsforsyne noen CMOS kretser, se figuren nedenfor. Anta en inngangsspenning på 10 V og en 500 mw zenerdiode med en zenerspenning på 5.1 V. Lasten representert ved motstanden R L kan antas å være variabel. a) Finn maksimal strøm gjennom zenerdioden og seriemotstanden R S når lasten ikke trekker noe strøm. b) Beregn størrelsen på R S gitt forutsetningene i punkt a. c) Hva blir maksimal tillatt strøm til lasten når det kreves en minimum strøm på 5 ma for zenerdioden? - 3 -

4 Oppgave 8 a) Forklar hva som må gjøres når du bruker Xilinx verktøyet for å kunne lage en trafikklysstyring der du bruker Xilinx s CPLD. Det forutsettes at hele eller deler av konstruksjonen skrives i VHDL og også skal simuleres. b) Forklar hva VHDL-filen gjengitt i Vedlegg 1 beskriver ved å tegne et skjema med angivelse av blokker, porter og signaler. c) Skissér testvektorene benyttet i Vedlegg 1. Hva brukes en testbenk til? - 4 -

5 Vedlegg 1 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- file generated by Knut Harald Nygaard entity testbench is end testbench; architecture behavioral of testbench is component circ port ( p1 : out std_logic; p2 : out std_logic; p3 : in std_logic; p4 : in std_logic; p5 : in std_logic ); end component; signal p1 : std_logic; signal p2 : std_logic; signal p3 : std_logic:='1'; signal p4 : std_logic; signal p5 : std_logic; begin uut : circ port map ( p1 => p1, p2 => p2, p3 => p3, p4 => p4, p5 => p5 ); process begin p4 <= '1'; wait for 10 ms; p4 <= '0'; wait for 10 ms; end process; p3 <= '0' after 15 ms; p5 <= 0, '1' after 40 ms; end;

Gruppe(r): 2EY 30.05.02. Eksamenstid, fra-til: 09 00-14 00 Eksamensoppgaven består av. Antall sider: 4 (Inkludert denne)

Gruppe(r): 2EY 30.05.02. Eksamenstid, fra-til: 09 00-14 00 Eksamensoppgaven består av. Antall sider: 4 (Inkludert denne) HØGSKOLEN I OSLO Avdeling for ingeniørutdanning EKSAMENSOPPGAVE Fag: ELEKTRONIKK II Fagnr: SO313E Faglig veileder: K. H. Nygård, V. Tyssø Gruppe(r): 2EY Dato: 30.05.02 Eksamenstid, fra-til: 09 00-14 00

Detaljer

Emnekode: LV121A Dato: 03.03.2005. Alle skrevne og trykte hjelpemidler

Emnekode: LV121A Dato: 03.03.2005. Alle skrevne og trykte hjelpemidler II ~ høgskolen i oslo Emne: Programmering i C++ Gruppe(r): EksamensoppgavenAntall sider (inkl. består av: forsiden):5 Emnekode: LV121A Dato: 03.03.2005 Antall oppgaver:3 Faglig veileder: Simen Hagen Eksamenstid:

Detaljer

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE Emne: Gruppe(r): Eksamensoppgaven består av: Kybernetikk I E Antall sider (inkl. forsiden): 7 Emnekode: SO 8E Dato: 7. juni Antall oppgaver: Faglig veileder:

Detaljer

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE AVDELING FOR INGENIØRUTDANNING ESAMENSOPPGAVE Emne: Gruppe(r): Eksamensoppgaven består av: ybernetikk I 2E Antall sider (inkl. forsiden): Emnekode: SO 318E Dato: Antall oppgaver: 6 Faglig veileder: Veslemøy

Detaljer

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE Emne: Informatikk II Emnekode: LO325E Faglig veileder: G.Milvang og H.Hemmer Gruppe(r): 2EA,2EB,2EC Dato:12.12.03 Eksamenstid:9 00-14 00 Eksamensoppgaven

Detaljer

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE Emne: Gruppe(r): Tillatte hjelpemidler: Ingen Kunstig intelligens Antall sider (inkl. forsiden): 5 Emnekode: LV 145A Dato: 04.05.05 Antall oppgaver: 3 Faglig

Detaljer

INF3430. Fasit eksamen Høst 2009. Oppgave 1 6. Oppgave A B C D E 1 X X 2 X 3 X X 4 X X 5 X X 6 X

INF3430. Fasit eksamen Høst 2009. Oppgave 1 6. Oppgave A B C D E 1 X X 2 X 3 X X 4 X X 5 X X 6 X INF3430. Fasit eksamen Høst 2009. Oppgave 1 6. Oppgave A B C D E 1 X X 2 X 3 X X 4 X X 5 X X 6 X INF3430 Eksamen H09 VHDL besvarelse Oppgave 7: signal_values INF3430 - H09 1 INF3430 Eksamen H09 VHDL besvarelse

Detaljer

Fakultet for teknologi, kunst og design Teknologiske fag

Fakultet for teknologi, kunst og design Teknologiske fag Fakultet for teknologi, kunst og design Teknologiske fag Ny og utsatt eksamen i: Elektronikk Målform: Bokmål Dato: 7. august 2013 Tid: 0900-1200 Antall sider (inkl. forside): 5 (inkludert Vedlegg 1 side)

Detaljer

Fakultet for teknologi, kunst og design Teknologiske fag

Fakultet for teknologi, kunst og design Teknologiske fag Fakultet for teknologi, kunst og design Teknologiske fag Ny/utsatt eksamen i: Elektronikk Målform: Bokmål Dato: 2. august 2016 Tid: 0900-1200 Antall sider (inkl. forside): 6 (inkludert Vedlegg 1 side)

Detaljer

Emnekode: Faglig veileder: Veslemøy Tyssø Bjørn Ena~bretsen. Gruppe(r): I Dato: Alle skrevne og trykte hjelpemidler, skrivesaker og kalkulator

Emnekode: Faglig veileder: Veslemøy Tyssø Bjørn Ena~bretsen. Gruppe(r): I Dato: Alle skrevne og trykte hjelpemidler, skrivesaker og kalkulator G høgskolen i oslo Emne: Kybemetikk Emnekode: to 358E Faglig veileder: Veslemøy Tyssø Bjørn Enabretsen. Gruppe(r): Dato: Eksamenstid: ST - 2E i 7. juni 2005 ' Eksamensoppgaven består av: forsiden): 7 5

Detaljer

INF3430/4430. Grunnleggende VHDL

INF3430/4430. Grunnleggende VHDL INF3430/4430 Grunnleggende VHDL 26.09.2005 20.57 Agenda Entity/architecture Strukturelle design (netlist) Generics Configurations Operatorer-Operator presedence Datatyper Bit / IEEE1164 Std_ulogic /std_logic

Detaljer

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE AVDELING FOR INGENIØRUTDANNING ESAMENSOPPGAVE Emne: Gruppe(r): Eksamensoppgav en består av: ybernetikk I 2E Antall sider (inkl. forsiden): 5 Emnekode: SO 38E Dato: 5. juni 2004 Antall oppgaver: 6 Faglig

Detaljer

Høgskolen i Sør-Trøndelag Avdeling for teknologi

Høgskolen i Sør-Trøndelag Avdeling for teknologi Høgskolen i Sør-Trøndelag Avdeling for teknologi Eksamensdato: 3. desember 2010 Program for elektro- og datateknikk Varighet: Emnekode: Emnenavn: 5 timer EDT304T Digital Systemkonstruksjon Studiepoeng:

Detaljer

Løsningsforslag til 1. del av Del - EKSAMEN

Løsningsforslag til 1. del av Del - EKSAMEN Løsningsforslag til 1. del av Del - EKSAMEN Emnekode: ITD13012 Emne: Datateknikk Dato: 13. Desember 2013 Eksamenstid: kl 9:00 til kl 12:00 Hjelpemidler: 4 sider (A4) (2 ark) med egne notater. Ikke-kummuniserende

Detaljer

Antall sider (inkl. forsiden): 7. Alle trykte og håndskrevne

Antall sider (inkl. forsiden): 7. Alle trykte og håndskrevne Side 1 av 7 Bokmålstekst Emne: PROGRAMMERING (nytt pensum, 10 studiep.) Grupper: laa, lab, lac, lia, lib, lic Eksamensoppgaven best~r av: Tillatte hjelpemidler: Antall sider (inkl. forsiden): 7 Alle trykte

Detaljer

TELE2010A Digital Systemkonstruksjon

TELE2010A Digital Systemkonstruksjon TELE2010A Digital Systemkonstruksjon Øving 3/2015 Del 1, Teller: Husk å arbeide i det lokale arbeidsområdet på disken. Kopier filene til serveren når dere er ferdig for å kunne bruke dem neste gang. max_tall

Detaljer

Fakultet for teknologi, kunst og design Teknologiske fag

Fakultet for teknologi, kunst og design Teknologiske fag Fakultet for teknologi, kunst og design Teknologiske fag Ny og utsatt eksamen i: Elektronikk Målform: Bokmål Dato: 1. august 01 Tid: 0900-100 Antall sider (inkl. forside): 5 (inkludert Vedlegg 1 side)

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Program for elektro- og datateknikk Kandidatnr: Eksamensdato: Lengd/eksamenstid: Emnekode: Emnenamn: Klasse: Studiepoeng: Faglerar: Forslag på svar for

Detaljer

Fakultet for teknologi, kunst og design Teknologiske fag

Fakultet for teknologi, kunst og design Teknologiske fag Fakultet for teknologi, kunst og design Teknologiske fag Ny/utsatt eksamen i: Elektronikk Målform: Bokmål Dato: 2. august 2017 Tid: 3 timer/0900-1200 Antall sider (inkl. forside): 5 (inkludert Vedlegg

Detaljer

INF3430/4430. Grunnleggende VHDL. 11-Sep-06

INF3430/4430. Grunnleggende VHDL. 11-Sep-06 INF3430/4430 Grunnleggende VHDL 11-Sep-06 Agenda Entity/architecture Strukturelle design (netlist) Generics Configurations Operatorer-Operator presedence Datatyper Bit / IEEE1164 Std_ulogic /std_logic

Detaljer

HALVLEDER-DIODER Karakteristikker Målinger og simuleringer

HALVLEDER-DIODER Karakteristikker Målinger og simuleringer Kurs: FYS1210 Elektronikk med prosjektoppgaver Gruppe: Gruppe-dag: Oppgave: LABORATORIEØVELSE NR 3 Omhandler: HALVLEDER-DIODER Karakteristikker Målinger og simuleringer Revidert utgave, desember 2014 (T.

Detaljer

Synkron logikk. Sekvensiell logikk; to typer:

Synkron logikk. Sekvensiell logikk; to typer: Sekvensiell logikk De fleste digitale systemer har også minneelementer (f.eks flipflopper) i tillegg til kombinatorisk logikk, og kalles da sekvensiell logikk Output i en sekvensiell krets er avhengig

Detaljer

OPPLÆRINGSREGION NORD. Skriftlig eksamen. DEL2001 Data- og elektronikksystemer. Høst 2013. Privatister. VG2 Data og Elektronikk

OPPLÆRINGSREGION NORD. Skriftlig eksamen. DEL2001 Data- og elektronikksystemer. Høst 2013. Privatister. VG2 Data og Elektronikk OPPLÆRINGSREGION NORD LK06 Finnmark fylkeskommune Troms fylkeskommune Nordland fylkeskommune Nord-Trøndelag fylkeskommune Sør-Trøndelag fylkeskommune Møre og Romsdal fylke Skriftlig eksamen DEL2001 Data-

Detaljer

Fakultet for teknologi, kunst og design Teknologiske fag

Fakultet for teknologi, kunst og design Teknologiske fag Fakultet for teknologi, kunst og design Teknologiske fag Eksamen i: Elektronikk Målform: Bokmål Dato: 24. mai 2017 Tid: 3 timer/0900-1200 Antall sider (inkl. forside): 5 (inkludert Vedlegg 1 side) Antall

Detaljer

EKSAMENSOPPGAVE. Les igjennom alle oppgaver før du begynner - for å danne deg et bilde av omfanget.

EKSAMENSOPPGAVE. Les igjennom alle oppgaver før du begynner - for å danne deg et bilde av omfanget. Side 1 HØGSKOLEN I OSLO Avdeling for ingeniørutdanning EKSAMENSOPPGAVE Emne: Mekatronikk Emnekode: SO524M Faglig veiledere: Bjørn Engebretsen Gruppe(r): 3MM Dato: 16.12.2002 Eksamenstid: 09.00 14.00 Eksamensoppgaven

Detaljer

Prøveeksamen 2. Elektronikk 24. mars Løsningsforslag

Prøveeksamen 2. Elektronikk 24. mars Løsningsforslag Prøveeksamen Elektronikk 4. mars øsningsforslag OPPGAVE a) V SB 8 V/ 8 8 V/56 3,5 mv. b) xc 9 Utgangsspenning V o (9/56) 8 V 6 V. c) Utgangsspenning V o skal være lik for påtrykk x. Offset-feilen i SB

Detaljer

G høgskolen i oslo ~~'~6"'-- - i Kalkulator som ikke kan kommunisere med andre. Dato:OS~3. Faglig veileder: Lars Kristiansen.

G høgskolen i oslo ~~'~6'-- - i Kalkulator som ikke kan kommunisere med andre. Dato:OS~3. Faglig veileder: Lars Kristiansen. G høgsklen i sl lemne: Datamaskinarkitektur Emnekde:lO 134A Faglig veileder: Lars Kristiansen " Gruppe(r): Eksamensppgaven består av: Antall sider (inkl. frsiden): Dat:OS3 i Antall ppgaver: -4 Eksamenstid:

Detaljer

INF3430/4431. VHDL byggeblokker og testbenker

INF3430/4431. VHDL byggeblokker og testbenker INF3430/4431 VHDL byggeblokker og testbenker Entity/architecture Innhold Strukturelle design (nettliste) Generics Configurations Operatorer-Operator prioritet (precedence) Datatyper Bit / IEEE1164 std_ulogic

Detaljer

Fakultet for teknologi, kunst og design Teknologiske fag

Fakultet for teknologi, kunst og design Teknologiske fag Fakultet for teknologi, kunst og design Teknologiske fag Ny/utsatt eksamen i: Elektronikk Målform: Bokmål Dato: 8. juli 015 Tid: 0900-100 Antall sider (inkl. forside og 1 side Vedlegg): 5 Antall oppgaver:

Detaljer

Antall vedlegg O Tillatte hjelpemidler:

Antall vedlegg O Tillatte hjelpemidler: ~ Emne: Mekatronikk Emnekode. SO504M Faglig ansvarlig Gruppe(r): 3MM pato: 16.12.03 Eksamenstid 0900-1400 Eksamensoppgaven består av Anta]] sider: 5 Antall oppgaver: 4 Antall vedlegg O Tillatte hjelpemidler:

Detaljer

Avdeling for ingeniørutdanning Institutt for teknologi

Avdeling for ingeniørutdanning Institutt for teknologi Avdeling for ingeniørutdanning Institutt for teknologi Oppgavetittel: Obligatorisk prosjektoppgave 1 Fag(nr./navn): Maskinvareutvikling DMVA-2060 Gruppemedlemmer: T. Alexander Lystad Faglærer: Zoran Dokic

Detaljer

Fakultet for teknologi, kunst og design Teknologiske fag

Fakultet for teknologi, kunst og design Teknologiske fag Fakultet for teknologi, kunst og design Teknologiske fag Eksamen i: Elektronikk Målform: Bokmål Dato: 26. mai 2015 Tid: 0900-1200 Antall sider (inkl. forside): 4 (inkludert Vedlegg 1 side) Antall oppgaver:

Detaljer

består av 7 sider inklusiv denne forsiden og vedlegg. Kontroller at oppgaven er komplett før du begynner å besvare spørsmålene.

består av 7 sider inklusiv denne forsiden og vedlegg. Kontroller at oppgaven er komplett før du begynner å besvare spørsmålene. Høgskolen i østfold EKSAMEN Emnekode: ITD13012 Dato: Emnenavn: Datateknikk Eksamenstid: 10.5.16 9.00 12.00, 3 timer Hjelpemidler: To A4-ark (fire sider) med egne notater Faglærer: Robert Roppestad "Ikke-kommuniserende"

Detaljer

Oppgave 1 En 4-input Xilinx LUT med innhold 9009 (hex) realiserer en: A xor-xor-or B xor-xor-nand C xor-xor-nor D xor-xor-and E xor-xor-xor

Oppgave 1 En 4-input Xilinx LUT med innhold 9009 (hex) realiserer en: A xor-xor-or B xor-xor-nand C xor-xor-nor D xor-xor-and E xor-xor-xor Oppgave 1 En 4-input Xilinx LU med innhold 9009 (hex) realiserer en: Oppgave 2 PGA-teknologi A xor-xor-or B xor-xor-nand C xor-xor-nor D xor-xor-and E xor-xor-xor A orbindslinjer mellom LU er har vanligvis

Detaljer

Emnekode: LO 358E. OYAo~~ Alle skrevne og trykte hjelpemidler, skrivesaker og kalkulator

Emnekode: LO 358E. OYAo~~ Alle skrevne og trykte hjelpemidler, skrivesaker og kalkulator ~ h øgskolen i oslo Emne: Kybemetikk Emnekode: LO 358E Gruppe(r): Dato: \? 2E OYAo~~ Eksamensoppgav Antall sider (inkl. Antall oppgaver en består av: forsiden): 6 5 Faglig veileder: Veslemøy Tyssø Bjørn

Detaljer

F = a bc + abc + ab c + a b c

F = a bc + abc + ab c + a b c UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i IN 240 Digital Systemkonstruksjon Eksamensdag: 8. desember 1998 Tid for eksamen: 9.00 15.00 Oppgavesettet er på 5 sider. Vedlegg:

Detaljer

INF3430. VHDL byggeblokker og testbenker

INF3430. VHDL byggeblokker og testbenker INF3430 VHDL byggeblokker og Innhold Entity/architecture Strukturelle design (nettliste) Generics Configurations Operatorer-Operator prioritet (precedence) Datatyper Bit / IEEE1164 std_ulogic /std_logic

Detaljer

Emnekode: SO 380E. Dato: I L{. aug. 2003. -Antall oppgaver: -4

Emnekode: SO 380E. Dato: I L{. aug. 2003. -Antall oppgaver: -4 høgskoln oslo!emne Gruppe"(r) 2ET Eksamensoppgaven består av: TELETEKN KK [Antall sider (inkf forsiden): -4 Emnekode: SO 380E Dato: L{. aug. 2003 -Antall oppgaver: -4 Faglig veileder: Hermann Fylling Knut

Detaljer

Store design. Kapittel 6

Store design. Kapittel 6 Store design Kapittel 6 Hierarki hvorfor bruke det Dele opp designet i håndterbare designenheter. Fokusere på mindre, håndterbare enheter vil føre til færre feil og raskere debugging av feil. Verifisere

Detaljer

INF3430/4431 Høsten Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter

INF3430/4431 Høsten Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter INF343/443 Høsten 2 Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter Innledning. Målene med denne laboppgaven er å lære om subprogrammer og biblioteker i VHDL

Detaljer

EKSAMEN (Del 1, høsten 2015)

EKSAMEN (Del 1, høsten 2015) EKSAMEN (Del 1, høsten 2015) Emnekode: ITD13012 Emne: Datateknikk Dato: 02.12.2015 Eksamenstid: kl 0900 til kl 1200 Hjelpemidler: Faglærer: to A4-ark (fire sider) med egne notater Robert Roppestad "ikke-kommuniserende"

Detaljer

Løsningsforslag til 1. del av Del - EKSAMEN

Løsningsforslag til 1. del av Del - EKSAMEN Løsningsforslag til 1. del av Del - EKSAMEN Emnekode: ITD13012 Emne: Datateknikk Dato: 27. November 2012 Eksamenstid: kl 9:00 til kl 12:00 Hjelpemidler: 4 sider (A4) (2 ark) med egne notater. Ikke-kummuniserende

Detaljer

EKSAMEN Løsningsforslag Emne: Fysikk og datateknikk

EKSAMEN Løsningsforslag Emne: Fysikk og datateknikk Emnekode: ITD006 EKSAMEN Løsningsforslag Emne: Fysikk og datateknikk Dato: 09. Mai 006 Eksamenstid: kl 9:00 til kl :00 Hjelpemidler: 4 sider (A4) ( ark) med egne notater. Kalkulator. Gruppebesvarelse,

Detaljer

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE Emne: Informatikk II Emnekode: LO334E Faglig veileder: Hilde Hemmer Gruppe(r): 2EA,2EB,2EC Dato:14.12.04 Eksamenstid: 9 00-12 00 Eksamensoppgaven består av:

Detaljer

Fakultet for teknologi, kunst og design Teknologiske fag

Fakultet for teknologi, kunst og design Teknologiske fag Fakultet for teknologi, kunst og design Teknologiske fag Eksamen i: Elektronikk Målform: Bokmål Dato: 24. mai 2016 Tid: 0900-1200 Antall sider (inkl. forside): 5 (inkludert Vedlegg 1 side) Antall oppgaver:

Detaljer

INF 3430/4430. Simuleringsmetodikk

INF 3430/4430. Simuleringsmetodikk INF 3430/4430 Simuleringsmetodikk Innhold Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Fil-operasjoner Eksempel på SRAM modell og simulering av lesing fra denne INF3430 Side

Detaljer

INF 3430/4430. Simuleringsmetodikk

INF 3430/4430. Simuleringsmetodikk INF 3430/4430 Simuleringsmetodikk 02.11.2005 Agenda Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Verifikasjon av syntetisert/plassert design mot RTL-kode Fil-operasjoner

Detaljer

- - I Aile trykte og skrevne. samt kalkulator

- - I Aile trykte og skrevne. samt kalkulator 6 hegskolen i oslo!~ne: Faglig veileder: i_d~maskinarkite~tur i Gruppe(r) Eksam e nsti d : 5 I EkSamensoppgaven besclr av: I Tillatte hjelpemidler Antan-slder (Ink[ i forsiden): 5 - - I Aile trykte og

Detaljer

1. del av Del - EKSAMEN

1. del av Del - EKSAMEN 1. del av Del - EKSAMEN Emnekode: ITD13012 Emne: Datateknikk Dato: 27. November 2012 Eksamenstid: kl 9:00 til kl 12:00 Hjelpemidler: 4 sider (A4) (2 ark) med egne notater. Ikke-kummuniserende kalkulator.

Detaljer

INF3430 Høsten ChipScope PRO - En kort innføring

INF3430 Høsten ChipScope PRO - En kort innføring INF3430 Høsten 2008 ChipScope PRO - En kort innføring Innhold Innledning... 3 Generering av Chipscope kjerner... 4 Generering av ICON (Integrated Controller) modul... 4 Generering av ILA (Integrated Logic

Detaljer

Gå til Drawing and Animation i Palette-menyen og legg til Canvas og Ball. OBS! Ball må slippes inni Canvas på skjermen for at den skal bli lagt til.

Gå til Drawing and Animation i Palette-menyen og legg til Canvas og Ball. OBS! Ball må slippes inni Canvas på skjermen for at den skal bli lagt til. Ta ballen Nybegynner App Inventor Introduksjon Nå skal vi lage en app som heter Ta ballen. For å lage denne appen så forutsetter vi at vi vet hvordan MIT App Inventor fungerer fra tidligere oppgavesett,

Detaljer

WORKSHOP BRUK AV SENSORTEKNOLOGI

WORKSHOP BRUK AV SENSORTEKNOLOGI WORKSHOP BRUK AV SENSORTEKNOLOGI MIKROKONTROLLERE - ARDUINO KURS 27.08.16 ANALOG - DIGITAL FRA VARIASJONER AV STRØMSTYRKE TIL TALL ARDUINO BRUKES TIL Å UTFØRE SLIK KONVERTERING STRØM/TALL ELLER TALL/STRØM

Detaljer

er et er et heltall. For eksempel er 2, 3, 5, 7 og 11 primtall, mens 4 = 2 2, 6 = 2 3 og 15 = 3 5 er det ikke.

er et er et heltall. For eksempel er 2, 3, 5, 7 og 11 primtall, mens 4 = 2 2, 6 = 2 3 og 15 = 3 5 er det ikke. . Primtall og primtallsfaktorisering Definisjon Et primtall p er et heltall, større enn, som ikke er delelig med andre tall enn og seg selv, altså bare delelig med og p (og egentlig også og p) At et tall

Detaljer

OPPLÆRINGSREGION NORD. Skriftlig eksamen. NAB1002 Naturbasert aktivitet VÅREN 2011. Privatister. Vg1 Naturbruk. Utdanningsprogram for Naturbruk.

OPPLÆRINGSREGION NORD. Skriftlig eksamen. NAB1002 Naturbasert aktivitet VÅREN 2011. Privatister. Vg1 Naturbruk. Utdanningsprogram for Naturbruk. OPPLÆRINGSREGION NORD LK06 Finnmark fylkeskommune Troms fylkeskommune Nordland fylkeskommune Nord-Trøndelag fylkeskommune Sør-Trøndelag fylkeskommune Møre og Romsdal fylke Skriftlig eksamen NAB1002 Naturbasert

Detaljer

INF 3430/4431. Simuleringsmetodikk

INF 3430/4431. Simuleringsmetodikk INF 3430/4431 Simuleringsmetodikk Innhold Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Fil-operasjoner Eksempel på SRAM modell og simulering av lesing fra denne INF3430/4431

Detaljer

Avdeling for ingeniørutdanning. Eksamen i materialteknologi og tilvirkning

Avdeling for ingeniørutdanning. Eksamen i materialteknologi og tilvirkning www.hio.no Avdeling for ingeniørutdanning Eksamen i materialteknologi og tilvirkning Dato:? februar 2012 Tid: 3 timer Antall sider inklusive forside: 5 Antall oppgaver: 3 Tillatte hjelpemidler: Kalkulator,

Detaljer

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE DELING OR INGENIØRUTDNNING EKSMENSOPPGE Emne: Kjemisk reaksjonsteknikk Emnekode: SO 451 K aglig veileder: Per Ola Rønning Gruppe(r): 3K Dato: Eksamenstid: 9.-1. Eksamensoppgaven består av: ntall sider

Detaljer

OPPLÆRINGSREGION NORD. Skriftlig eksamen. DEL2001 Data og elektronikksystemer VÅREN 2011. Privatister. Vg2 Data og elektronikk

OPPLÆRINGSREGION NORD. Skriftlig eksamen. DEL2001 Data og elektronikksystemer VÅREN 2011. Privatister. Vg2 Data og elektronikk OPPLÆRINGSREGION NORD LK06 Finnmark fylkeskommune Troms fylkeskommune Nordland fylkeskommune Nord-Trøndelag fylkeskommune Sør-Trøndelag fylkeskommune Møre og Romsdal fylke Skriftlig eksamen DEL2001 Data

Detaljer

Eksamensoppgaven: Hele oppgavesettet består av 8 oppgaver. Hver oppgave har en %-angivelse som angir hvor mye den teller ved sensurering.

Eksamensoppgaven: Hele oppgavesettet består av 8 oppgaver. Hver oppgave har en %-angivelse som angir hvor mye den teller ved sensurering. Informasjon om eksamen EKSAMEN Emnekode og -navn: ITD13012 Datateknikk, deleksamen 2 Dato og tid: 15. mai 2018, 3 timer (fra 09:00 til 12:00) Faglærer: Robert Roppestad Tillatte hjelpemidler: To A4-ark

Detaljer

Emnekode: sa 318E. Pensumlitteratur ( se liste nedenfor), fysiske tabeller, skrivesaker og kalkulator

Emnekode: sa 318E. Pensumlitteratur ( se liste nedenfor), fysiske tabeller, skrivesaker og kalkulator I I ~ høgskolen i oslo Emne: Gruppe(r): Eksamensoppgav en består av: Kybernetikk 2EY Antall sider (inkl. forsiden): 5 Emnekode: sa 318E Dato: 15. iuni 2004 Antall OPfgaver: Faglig veileder: Vesle møy Tyssø

Detaljer

Versjon2.0/ ChipScope PRO - En kort innføring

Versjon2.0/ ChipScope PRO - En kort innføring Versjon2.0/29.09.2013 ChipScope PRO - En kort innføring Innhold Innledning...3 Generering av Chipscope kjerner...4 Generering av ICON (Integrated Controller) modul...6 Generering av ILA (Integrated Logic

Detaljer

Tilstandsmaskiner (FSM) Kapittel 5

Tilstandsmaskiner (FSM) Kapittel 5 Tilstandsmaskiner (FSM) Kapittel 5 1) Sette opp tilstandsdiagram Tradisjonell konstruksjonsmetode 2) Sette opp tilstandstabell ut fra tilstandsdiagrammet Nåværende tilstand (PS) og input Neste tilstand

Detaljer

Forslag til løsning på Eksamen FYS1210 våren 2009

Forslag til løsning på Eksamen FYS1210 våren 2009 Forslag til løsning på Eksamen FYS1210 våren 2009 Oppgave 1 Figure 1 viser DC forspenning av en BJT-transistor - 2N2222. Denne transistoren har en strømforsterkning β = 200. R1 = 62 kω, R2 = 9 kω, R3=1

Detaljer

OPPLÆRINGSREGION NORD. Skriftlig eksamen. TIP1002 Tekniske tjenester HØST 2013. Privatister. Vg1 Teknikk og industriell produksjon

OPPLÆRINGSREGION NORD. Skriftlig eksamen. TIP1002 Tekniske tjenester HØST 2013. Privatister. Vg1 Teknikk og industriell produksjon OPPLÆRINGSREGION NORD LK06 Finnmark fylkeskommune Troms fylkeskommune Nordland fylkeskommune Nord-Trøndelag fylkeskommune Sør-Trøndelag fylkeskommune Møre og Romsdal fylke Skriftlig eksamen TIP1002 Tekniske

Detaljer

Kombinatorisk og synkron logikk. Kapittel 4

Kombinatorisk og synkron logikk. Kapittel 4 Kombinatorisk og synkron logikk Kapittel 4 Eksempel; FIFO First-In-First-Out Eksempelet i boka er en noe redusert fifo (mangler empty flag, full flag osv.), men har de viktigste elementene Denne FIFOen

Detaljer

Ny EKSAMEN. Operativsystemer og nettverk

Ny EKSAMEN. Operativsystemer og nettverk Ny EKSAMEN Emnekode: ITF22514 Dato: 4. juni 2015 Emne: Operativsystemer og nettverk Eksamenstid: kl 09.00 til kl 13.00 Hjelpemidler: Alle trykte og skrevne. Faglærere: Jan Høiberg og Tore Petter Engen

Detaljer

Skriftlig eksamen. AKT2001 Aktiviseringsfag. Våren 2014. Privatister/Privatistar. VG2 Aktivitør. Utdanningsprogram for Design og håndverk/handverk

Skriftlig eksamen. AKT2001 Aktiviseringsfag. Våren 2014. Privatister/Privatistar. VG2 Aktivitør. Utdanningsprogram for Design og håndverk/handverk Finnmark fylkeskommune Troms fylkeskommune Nordland fylkeskommune Nord-Trøndelag fylkeskommune Sør-Trøndelag fylkeskommune Møre og Romsdal fylke Skriftlig eksamen AKT2001 Aktiviseringsfag Våren 2014 Privatister/Privatistar

Detaljer

c;'1 høgskolen i oslo

c;'1 høgskolen i oslo c;'1 høgskolen i oslo Emne \ Emnekode Faglig veileder sa 318E Vesle møy Tyssø Bjørn EnqebretseQ ruppe(r) Dato' O, (jk.o{reksamenstid O.J 2E - 2004 -- 1ST ()~ -Ll..- j,elcsamensoppgav.ien består av Tillatte

Detaljer

Avatar ( definisjon fra Store Norske Leksikon )

Avatar ( definisjon fra Store Norske Leksikon ) 3D Visualisering av menneskelige bevegelser ved bruk av Java og Coin3D. En presentasjon av: Øivind Hoff Johansen og Jon Kåre Sørensen LocMoC Low Cost Motion Capture. Avatar ( definisjon fra Store Norske

Detaljer

Dagens tema. Dagens tema hentes fra kapittel 3 i Computer Organisation and Architecture. Sekvensiell logikk. Flip-flop er. Tellere og registre

Dagens tema. Dagens tema hentes fra kapittel 3 i Computer Organisation and Architecture. Sekvensiell logikk. Flip-flop er. Tellere og registre Dagens tema Dagens tema hentes fra kapittel 3 i Computer Organisation and Architecture Sekvensiell logikk Flip-flop er Tellere og registre Design av sekvensielle kretser (Tilstandsdiagram) 1/19 Sekvensiell

Detaljer

EKSAMEN Emnekode: ITD13012

EKSAMEN Emnekode: ITD13012 EKSAMEN Emnekode: ITD13012 Dato: 29.11.2017 Hjelpemidler: To (2) A4-ark (fire sider) med egne notater. HIØ-kalkulator som kan lånes under eksamen. Emnenavn: Datateknikk Eksamenstid: 3 timer Faglærer: Robert

Detaljer

Emne: Datamaskinarkitektur Emnekode:lO 134A Faglig veileder: Lars Kristiansen

Emne: Datamaskinarkitektur Emnekode:lO 134A Faglig veileder: Lars Kristiansen I Gruppe(r): I G høgskolen i oslo Emne: Datamaskinarkitektur Emnekode:lO 34A Faglig veileder: Lars Kristiansen Dato: Eksamenstid: 09.00-2.00 Eksamensoppgaven Antall sider (inkl. består av: ; forsiden):

Detaljer

Fakultet for teknologi, kunst og design Teknologiske fag

Fakultet for teknologi, kunst og design Teknologiske fag Fakultet for teknologi, kunst og design Teknologiske fag Eksamen i: Materialteknologi Målform: Bokmål Dato: 2.juni 2016 Tid: 3 timer / kl. 9.00 12.00 Antall sider (inkl. forside): 5 Antall oppgaver: 4

Detaljer

! Antall oppgaver: Antall vedlegg: 5 3 o. Kalkulator. alle skrevne og trykte

! Antall oppgaver: Antall vedlegg: 5 3 o. Kalkulator. alle skrevne og trykte 6 høgskolen i oslo,[emne: Statitikk -.. Gruppe(r): Alle r 2. årskull) Antall sider (inkl. Eksamensoppgav en best6r av: Tillatte hjelpemidler: forsiden): Emnekode: Faglig veileder: LOO70A Mari Mehlen Dato:

Detaljer

MAX MIN RESET. 7 Data Inn Data Ut. Load

MAX MIN RESET. 7 Data Inn Data Ut. Load UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i IN 240 çç Digital Systemkonstruksjon Eksamensdag: 6. desember 2000 Tid for eksamen: 9.00 ç 15.00 Oppgavesettet er p 5 sider. Vedlegg:

Detaljer

, ~', -~ lalle trykte og skrevne hjelpemidler. I Kalkulator som ikke kan kommunisere med andre.

, ~', -~ lalle trykte og skrevne hjelpemidler. I Kalkulator som ikke kan kommunisere med andre. i G h øgskolen i oslo Emne: Datamaskinarkitektur Emnekode:lOl23 Faglig veileder: Lars Kristiansen. Gruppe(r):, ~', -~ Dato:. - - ~ U..) Eksamenstid: Eksamensoppgaven består av: ntall sider (inkl. I forsiden):

Detaljer

Eksamen i emne TFE4110 DIGITALTEKNIKK MED KRETSTEKNIKK. Lørdag 5. juni Tid. Kl LØSNINGSFORSLAG

Eksamen i emne TFE4110 DIGITALTEKNIKK MED KRETSTEKNIKK. Lørdag 5. juni Tid. Kl LØSNINGSFORSLAG Side 1 av 15 NORGES TEKNISK- NATURVITENSKAPLIGE UNIVERSITET Institutt for elektronikk og telekommunikasjon Faglig kontakt under eksamen: Bjørn B. Larsen 73 59 44 93 / 902 08 317 (Digitaldel) Ingulf Helland

Detaljer

ORIENTERING OM RETTEN TIL

ORIENTERING OM RETTEN TIL Fylkesmannen i Østfold KLAGE PÅ KARAKTER Grunnskolen til elever og foresatte ORIENTERING OM RETTEN TIL Å KLAGE PÅ KARAKTERER GENERELLE BESTEMMELSER Dette er en orientering om de bestemmelsene som gjelder

Detaljer

Dagens temaer. Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture. Kort repetisjon fra forrige gang. Kombinatorisk logikk

Dagens temaer. Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture. Kort repetisjon fra forrige gang. Kombinatorisk logikk Dagens temaer Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture Kort repetisjon fra forrige gang Kombinatorisk logikk Analyse av kretser Eksempler på byggeblokker Forenkling

Detaljer

NTNU Fakultet for lærer- og tolkeutdanning

NTNU Fakultet for lærer- og tolkeutdanning NTNU Fakultet for lærer- og tolkeutdanning Emnekode(r): LGU52003 Emnenavn: Matematikk 2 (5-10), emne 2 Studiepoeng: 15 Eksamensdato: 23. mai 2016 Varighet/Timer: 6 Målform: Nynorsk Kontaktperson/faglærer:

Detaljer

EKSAMEN Løsningsforslag

EKSAMEN Løsningsforslag 7 desember EKSAMEN Løsningsorslag Emnekode: ITD5 Dato: 6 desember Hjelpemidler: Emne: Matematikk ørste deleksamen Eksamenstid: 9 Faglærer: To A-ark med valgritt innhold på begge sider Formelhete Kalkulator

Detaljer

Løsningsforslag til EKSAMEN

Løsningsforslag til EKSAMEN Løsningsforslag til EKSAMEN Emnekode: ITD006 Emne: Fysikk og datateknikk Dato: 09. Mai 007 Eksamenstid: kl 9:00 til kl :00 Hjelpemidler: 4 sider (A4) ( ark) med egne notater. Kalkulator. Gruppebesvarelse,

Detaljer

Agenda Funksjoner og prosedyrer. Funksjoner

Agenda Funksjoner og prosedyrer. Funksjoner Aga Funksjoner og prosedyrer Funksjoner Operatorer Standard funksjoner/operatorer Overloading Package og Package body Operator inferencing Prosedyrer Side 1 Funksjoner(1) Benyttes mye i modeller for simulering

Detaljer

EKSAMEN. Oppgavesettet består av 3 oppgaver. Alle spørsmål på oppgavene skal besvares, og alle spørsmål teller likt til eksamen.

EKSAMEN. Oppgavesettet består av 3 oppgaver. Alle spørsmål på oppgavene skal besvares, og alle spørsmål teller likt til eksamen. EKSAMEN Emnekode: ITD12011 Emne: Fysikk og kjemi Dato: 6. Mai 2016 Eksamenstid: kl.: 9:00 til kl.: 13:00 Hjelpemidler: 4 sider (A4) (2 ark) med egne notater. Ikke-kommuniserende kalkulator. Gruppebesvarelse,

Detaljer

~ høgskolen i oslo. Emne: Biokjemi. Emnekode: SO 461 K Faglig veileder: Ragnhild Augustson. Pruppe(r): 2K. Dato: Antall oppgaver: 4

~ høgskolen i oslo. Emne: Biokjemi. Emnekode: SO 461 K Faglig veileder: Ragnhild Augustson. Pruppe(r): 2K. Dato: Antall oppgaver: 4 høgskolen i oslo Emne: Biokjemi Emnekode: SO 461 K Faglig veileder: Ragnhild Augustson Pruppe(r): 2K Eksamensoppgaven består av: Antall sider (inkl. forsiden): 3 Dato: 15.06.04 Antall oppgaver: 4 Eksamenstid:

Detaljer

EKSAMEN. Oppgavesettet består av 3 oppgaver. Alle spørsmål på oppgavene skal besvares, og alle spørsmål teller likt til eksamen.

EKSAMEN. Oppgavesettet består av 3 oppgaver. Alle spørsmål på oppgavene skal besvares, og alle spørsmål teller likt til eksamen. EKSAMEN Emnekode: ITD12011 Emne: Fysikk og kjemi Dato: 30. April 2013 Eksamenstid: kl.: 9:00 til kl.: 13:00 Hjelpemidler: 4 sider (A4) (2 ark) med egne notater. Ikke-kummuniserende kalkulator. Gruppebesvarelse,

Detaljer

Eksamensoppgave i SØK2007 Utviklingsøkonomi / Development Economics

Eksamensoppgave i SØK2007 Utviklingsøkonomi / Development Economics Institutt for samfunnsøkonomi Eksamensoppgave i SØK2007 Utviklingsøkonomi / Development Economics Faglig kontakt under eksamen: Stefan Leknes Tlf.: 73 59 67 60 Eksamensdato: 27. mai 2014 Eksamenstid: 4

Detaljer

! EmnekOde: i SO 210 B. skriftlige kilder. Enkel ikkeprogrammerbar og ikkekommuniserbar kalkulator.

! EmnekOde: i SO 210 B. skriftlige kilder. Enkel ikkeprogrammerbar og ikkekommuniserbar kalkulator. l Alle ~ høgskolen oslo Emne: DIMENSJONER ~Gruppe(ry 3 BK NG II! EmnekOde: i SO 210 B - Dato: 19. februar -04 I I Fagiig veiled-e-r:-- Hoel/Harung/Nilsen Eksamenstid: 0900-1400 I Anttrlsldre~kI. forsiden):

Detaljer

Emnenavn: Datateknikk. Eksamenstid: 3 timer. Faglærere: Robert Roppestad. Hele oppgavesettet består av 8 oppgaver, samt 1 vedlegg.

Emnenavn: Datateknikk. Eksamenstid: 3 timer. Faglærere: Robert Roppestad. Hele oppgavesettet består av 8 oppgaver, samt 1 vedlegg. EKSAMEN Emnekode: ITD13012 Dato: 10. mai 2017 Hjelpemidler: To A4-ark (fire sider) med egne notater Emnenavn: Datateknikk Eksamenstid: 3 timer Faglærere: Robert Roppestad Kalkulator som kan lånes av HIØ

Detaljer

Analog til digital omformer

Analog til digital omformer A/D-omformer Julian Tobias Venstad ED-0 Analog til digital omformer (Engelsk: Analog to Digital Converter, ADC) Forside En rask innføring. Innholdsfortegnelse Forside 1 Innholdsfortegnelse 2 1. Introduksjon

Detaljer

KANDIDATEN MÅ SELV KONTROLLERE AT OPPGAVESETTET ER FULLSTENDIG

KANDIDATEN MÅ SELV KONTROLLERE AT OPPGAVESETTET ER FULLSTENDIG EKSAMENSOPPGAVE Fag: Lærer: IAD20003 Algoritmer og datastrukturer André Hauge Grupper: D2A Dato: 21.12.2004 Tid: 0900-1300 Antall oppgavesider: 5 med forside Antall vedleggssider: 0 Hjelpemidler: Alle

Detaljer

Entities and architectures. Kapittel 3

Entities and architectures. Kapittel 3 Entities and architectures Kapittel 3 VHDL program Én fil Entities and architectures Entity declaration og architecture body Analogi til en IC: Entiteten beskriver interfacet til omgivelsen (pakkens tilkoblingspinner)

Detaljer

EKSAMEN. Emne: Fysikk og datateknikk

EKSAMEN. Emne: Fysikk og datateknikk EKSAMEN Emnekode: ITD006 Emne: Fysikk og datateknikk Dato: 04. Mai 20 Eksamenstid: kl 9:00 til kl 3:00 Hjelpemidler: 4 sider (A4) (2 ark) med egne notater. Ikke-kummuniserende kalkulator. Gruppebesvarelse,

Detaljer

DIODER OG LIKERETTERER

DIODER OG LIKERETTERER Kurs: FY-IN204 Elektronikk med prosjektoppgaver - 4 vekttall Gruppe: Gruppe-dag: Oppgave: LABORATORIEØVELS E NR 1 Omhandler: DIODER OG LIKERETTERER Revidert, 14.03.2002, 14.03.2003 Utført dato: Utført

Detaljer

Retningslinjer for. gjennomføring, innhold og omfang av. installatørprøve for elektriske lavspenningsanlegg fke 11

Retningslinjer for. gjennomføring, innhold og omfang av. installatørprøve for elektriske lavspenningsanlegg fke 11 Vedlegg 1 Retningslinjer for gjennomføring, innhold og omfang av installatørprøve for elektriske lavspenningsanlegg fke 11 Oslo. Desember 2001 Rev. 01.08.2008 1 Gjennomføring Installatørprøven en skriftlig

Detaljer

Terminprøve Sigma 1T Våren 2008 m a t e m a t i k k

Terminprøve Sigma 1T Våren 2008 m a t e m a t i k k Terminprøve Sigma 1T Våren 2008 Prøvetid 5 klokketimer for Del 1 og Del 2 til sammen. Vi anbefaler at du ikke bruker mer enn to klokketimer på Del 1. Du må levere inn Del 1 før du tar fram hjelpemidler.

Detaljer

Datakonvertering. analog til digital og digital til analog

Datakonvertering. analog til digital og digital til analog Datakonvertering analog til digital og digital til analog Komparator Signalspenningene ut fra en sensor kan variere sterkt. Hvis vi bare ønsker informasjon om når signal-nivået overstiger en bestemt terskelverdi

Detaljer

Eksamen i Elektronikk 24. Mai Løsningsforslag Knut Harald Nygaard

Eksamen i Elektronikk 24. Mai Løsningsforslag Knut Harald Nygaard Eksamen i Elektronikk 24. Mai 2017 Løsningsforslag Knut Harald Nygaard Oppgave 1 Operasjonsforsterkeren i kretsløpet i figuren nedenfor kan regnes som ideell. v inn R C v ut a) Overføringsfunksjonen er

Detaljer

Antall oppgaver: 6. Alle trykte og skrevne hjelpemidler

Antall oppgaver: 6. Alle trykte og skrevne hjelpemidler "..{ ~ høgskolen i oslo t:mne:--dtstribuerte informasjonssystemer Emnekode:SO I 34A ~,6ruppe(r):3AA.3AB,3AC,3AD,3AE,3Af I Dato:08. I 2.2003 Faglig veileder: Frode Eika Sandnes Eksamenstid:9-14 Eksamensoppgaven

Detaljer

NY EKSAMEN Emnekode: ITD13012

NY EKSAMEN Emnekode: ITD13012 NY EKSAMEN Emnekode: ITD13012 Dato: 30.05.2018 Hjelpemidler: To (2) A4-ark (fire sider) med egne notater. HIØ-kalkulator som kan lånes under eksamen. Emnenavn: Datateknikk (deleksamen 1) Eksamenstid: 3

Detaljer