DM6814/DM5814 User s Manual

Størrelse: px
Begynne med side:

Download "DM6814/DM5814 User s Manual"

Transkript

1 (Real Time Devices)

2

3

4

5

6

7

8

9

10

11

12

13

14

15 Table 1-1 Factory Settings Switch/ Jumper Function Controlled Factory Settings (Jumpers Installed) P4 Connects a P14 jumper selectable interrupt source to an interrupt channel; pulls tri-state buffers to ground (G) for multiple interrupt applications Jumper installed on G (ground for buffer); interrupt channels disabled P5 Sets the clock and gate sources for the 8254 timer/counter CLK0: OSC; CLK1: OT0 CLK2:OT1; GT2:EG2 (timer/counters cascaded) P7 Activates pull-up/ pull-down resistors on Port 0 digital I/O lines (Port 0, lines 0-3 only) Not Installed P8 Activates pull-up/ pull-down resistors on Port 1 digital I/O lines (Port 1, lines 0-3 only) Not Installed P9 Activates pull-up/ pull-down resistors on Port 2 digital I/O lines (Port 2, lines 0-3 only) Not Installed P10 Activates pull-up/ pull-down resistors on Port 3 digital I/O lines (Port 3, lines 0-3 only) Not Installed P11 Activates pull-up/ pull-down resistors on Port 4 digital I/O lines (Port 4, lines 0-3 only) Not Installed P12 Activates pull-up/ pull-down resistors on Port 5 digital I/O lines (Port 5, lines 0-3 only) Not Installed P13 Not used No Connection P14 Selects one of four interrupt sources for interrupt generation OT2 P16 Connects interrupt source jumpered on P14 to an AT interrupt channel (DM6814 only) no jumper S1 Sets the base address 300 hex (768 decimal)

16

17 PROGRAMMABLE INVERT P14 INTERRUPT SOURCE CLK INTERRUPT REGISTER +5 V P14 IRQ STATUS (BA+17, BIT 3) CLR INTERRUPT CLR P4 G INCREMENTAL ENCODER CH-1 INTERRUPT CLK INTERRUPT REGISTER INCREMENTAL ENCODER CH-1 IRQ STATUS (BA+17, BIT 0) CLR CLR INCREMENTAL ENCODER CH-2 INTERRUPT CLK INTERRUPT REGISTER INCREMENTAL ENCODER CH-2 IRQ STATUS (BA+17, BIT 1) CLR CLR INCREMENTAL ENCODER CH-3 INTERRUPT CLK INTERRUPT REGISTER INCREMENTAL ENCODER CH-3 IRQ STATUS (BA+17, BIT 2) CLR CLR

18 8254 P5 ON-BOARD I/O CONNECTOR P6 TIMER/ COUNTER 0 CLK GATE CLK0 XTAL (8 MHz) +5 V PIN 1 PIN 2 EXT CLK 0 EXT GATE 0 OUT PIN 3 T/C OUT 0 OUT0 CLK1 TIMER/ COUNTER 1 CLK GATE +5 V PIN 5 PIN 6 EXT CLK 1 EXT GATE 1 OUT OUT1 CLK2 PIN 7 T/C OUT 1 TIMER/ COUNTER 2 CLK GATE +5 V PIN 9 PIN 10 EXT CLK 2 EXT GATE 2 OUT PIN 11 T/C OUT 2

19

20

21

22

23

24

25

26 INC ENC3 IRQIN OVERFLOW3 OUT INC ENC3 CHB INC ENC3 CHA P4.3 P4.2 P4.1 P EXT INT 1 N.C. N.C. N.C. N.C. P5.3 P5.2 P5.1 P EXT INT 2 EXT CLK 0 T/C OUT 0 EXT CLK 1 T/C OUT 1 EXT CLK 2 T/C OUT EXT GATE 0 EXT GATE 1 EXT GATE 2 INC ENC2 IRQIN OVERFLOW2 OUT INC ENC2 CHB N.C. N.C. N.C INC ENC2 CHA N.C P P P P P P P P INC ENC1 IRQIN N.C OVERFLOW1 OUT N.C INC ENC1 CHB N.C INC ENC1 CHA N.C P P P P P P P P VOLTS VOLTS 49 50

27

28

29

30 ADDRESS ADDRESS DECODE 8254 PIT INTERRUPT CONTROL 2 2 8MHz OSC 9 I/O CONNECTOR P6 EXTERNAL INTERRUPTS INCREMENTAL ENCODER PC BUS DATA INCREMENTAL ENCODER 2 INCREMENTAL ENCODER I/O CONNECTOR P2 I/O CONNECTOR P3 CONTROL +5 VOLTS +5 VOLTS

31 8254 P5 ON-BOARD I/O CONNECTOR P6 TIMER/ COUNTER 0 CLK GATE CLK0 XTAL (8 MHz) +5 V PIN 1 PIN 2 EXT CLK 0 EXT GATE 0 OUT PIN 3 T/C OUT 0 OUT0 CLK1 TIMER/ COUNTER 1 CLK GATE +5 V PIN 5 PIN 6 EXT CLK 1 EXT GATE 1 OUT OUT1 CLK2 PIN 7 T/C OUT 1 TIMER/ COUNTER 2 CLK GATE +5 V PIN 9 PIN 10 EXT CLK 2 EXT GATE 2 OUT PIN 11 T/C OUT 2

32

33

34 Table 4-1 DM6814/DM5814 I/O Map Address * (Decimal) Program starting value into bottom 8 bits of up/down counter BA + 0 Program starting value into top 8 bits of up/down counter BA + 1 Clear chip/latch counter value/ program 2 digital output lines (dependent on BA + 3) BA + 2 Program Incremental Encoder 1 control register BA + 3 Program starting value into bottom 8 bits of up/down counter BA + 4 Program starting value into top 8 bits of up/down counter BA + 5 Clear chip/latch counter value/ program 2 digital output lines (dependent on BA + 7) BA + 6 Program Incremental Encoder 2 control register BA + 7 Program starting value into bottom 8 bits of up/down counter BA + 8 Program starting value into top 8 bits of up/down counter BA + 9 Clear chip/latch counter value/ program 2 digital output lines (dependent on BA + 11) BA + 10 Program Incremental Encoder 3 control register BA + 11 Register Description Read Function Write Function Incremental Encoder 1 LSB Incremental Encoder 1 MSB Incremental Encoder 1 Clear/Hold/Digital I/O Incremental Encoder 1 Chip Mode Register Incremental Encoder 2 LSB Incremental Encoder 2 MSB Incremental Encoder 2 Clear/Hold/Digital I/O Incremental Encoder 2 Chip Mode Register Incremental Encoder 3 LSB Incremental Encoder 3 MSB Incremental Encoder 3 Clear/Hold/Digital I/O Incremental Encoder 3 Chip Mode Register Read bottom 8 bits of up/down counter Read top 8 bits of up/down counter Clear IRQ status flag/read 8 digital input lines (dependent on BA + 3) Read Incremental Encoder 1 control register Read bottom 8 bits of up/down counter Read top 8 bits of up/down counter Clear IRQ status flag/read 8 digital input lines (dependent on BA + 7) Read Incremental Encoder 2 control register Read bottom 8 bits of up/down counter Read top 8 bits of up/down counter Clear IRQ status flag/read 8 digital input lines (dependent on BA + 11) Read Incremental Encoder 3 control register 8254 TC Counter 0 Read value in Counter 0 Load count in Counter 0 BA TC Counter 1 Read value in Counter 1 Load count in Counter 1 BA TC Counter 2 Read value in Counter 2 Load count in Counter 2 BA Control Word Reserved Program counter mode BA + 15 Enable interrupt line (P14), Disable Clear IRQ/IRQ Enable Clear interrupt line (P14) interrupt sharing BA + 16 IRQ Status Read interrupt status Reserved BA + 17 Reserved Reserved Reserved BA + 18 Reserved Reserved Reserved BA + 19 * BA = Base Address

35 D7 D6 D5 D4 D3 D2 D1 D0 D7 D6 D5 D4 D3 D2 D1 D0 D7 D6 D5 D4 D3 D2 D1 D0

36 D7 D6 D5 D4 D3 D2 D1 D0

37 D7 D6 D5 D4 D3 D2 D1 D0 D7 D6 D5 D4 D3 D2 D1 D0 D7 D6 D5 D4 D3 D2 D1 D0

38 D7 D6 D5 D4 D3 D2 D1 D0

39 D7 D6 D5 D4 D3 D2 D1 D0 D7 D6 D5 D4 D3 D2 D1 D0 D7 D6 D5 D4 D3 D2 D1 D0

40 D7 D6 D5 D4 D3 D2 D1 D0

41 D7 D6 D5 D4 D3 D2 D1 D0 D7 D6 D5 D4 D3 D2 D1 D0

42 D7 D6 D5 D4 D3 D2 D1 D0

43 %$6,& 'DWD,13$GGUHVV 287Ã$GGUHVV'DWD 7XUERÃ& 'DWD LQSRUWE$GGUHVV RXWSRUWE$GGUHVV'DWD 7XUERÃ3DVFDO 'DWD 'DWD $VVHPEO\ PRYÃ G[$GGUHVV LQÃDOG[ PRYÃ G[$GGUHVV PRYÃDO'DWD RXWÃG[DO & È DÃ ÃEÃÈÃF DÃ ÃEÃÃF É DÃ ÃEÃÉÃF _ DÃ ÃEÃ_ÃF 3DVFDO 02' DÃ ÃEÃ02'ÃF ',9 DÃ ÃEÃ',9ÃF $1' DÃ ÃEÃ$1'ÃF 25 DÃ ÃEÃ25ÃF %$6,& 02' DÃ ÃEÃ02'ÃF? DÃ ÃEÃ?ÃF $1' DÃ ÃEÃ$1'ÃF 25 DÃ ÃEÃ25ÃF

44 V_SAVE = V_SAVE AND 223 OUT PortAddress, V V_Save = V_Save OR 8; Port[PortAddress] := V_Save; v_save = v_save & 171; outportb(port_address, v_save); mov al, v_save or al, 168 mov dx, PortAddress out dx, al v_save = v_save & 199; v_save = v_save 40; outportb(port_address, v_save);

45

46

47

48

49

50

51

52 8254 P5 ON-BOARD I/O CONNECTOR P6 TIMER/ COUNTER 0 CLK GATE CLK0 XTAL (8 MHz) +5 V PIN 1 PIN 2 EXT CLK 0 EXT GATE 0 OUT PIN 3 T/C OUT 0 OUT0 CLK1 TIMER/ COUNTER 1 CLK GATE +5 V PIN 5 PIN 6 EXT CLK 1 EXT GATE 1 OUT OUT1 CLK2 PIN 7 T/C OUT 1 TIMER/ COUNTER 2 CLK GATE +5 V PIN 9 PIN 10 EXT CLK 2 EXT GATE 2 OUT PIN 11 T/C OUT 2

53

54

55

56

57

58

59 void interrupt ISR(void) { /* Your code goes here. Do not use any DOS functions! */ inportb(baseaddress + 16); /* Clear jumper selectable interrupt */ outportb(0x20, 0x20); /* Send EOI command to 8259 */ } Procedure ISR; Interrupt; begin { Your code goes here. Do not use any DOS functions! } c := Port[BaseAddress + 16]; { Clear jumper selectable interrupt } Port[$20] := $20; { Send EOI command to 8259 } end;

60

61

62

63

64

65

66

67

68 INC ENC3 IRQIN 1 2 EXT INT 1 OVERFLOW3 OUT 3 4 INC ENC3 CHB INC ENC3 CHA PIN 2 P P P P INC ENC2 IRQIN OVERFLOW2 OUT PIN 1 INC ENC2 CHB INC ENC2 CHA P P P P INC ENC1 IRQIN OVERFLOW1 OUT INC ENC1 CHB INC ENC1 CHA P0.3 P PIN 50 P P VOLTS PIN 49 N.C. 1 2 EXT INT 2 N.C. 3 4 N.C. 5 6 N.C. 7 8 P P P P N.C. N.C. N.C. N.C. P3.3 P3.2 P3.1 P P2 & P3 Mating Connector Part Numbers Manufacturer Part Number AMP M N.C N.C N.C N.C P P P P VOLTS 49 50

69 EXT CLK 0 T/C OUT 0 EXT CLK 1 T/C OUT 1 EXT CLK 2 T/C OUT EXT GATE 0 EXT GATE 1 EXT GATE 2

70

71

72

73

74

75

76 Register Description Read Function Write Function Address * (Decimal) Digital I/O Port 0 Read Port 0 digital input lines Program Port 0 digital output lines BA + 0 Digital I/O Port 1 Read Port 1 digital input lines Program Port 1 digital output lines BA + 1 Clear IRQ/Program Port Direction & IRQ Source Clear digital IRQ status flag/read Port 0 direction, Port 1 direction or IRQ source (dependent on BA + 3) Clear digital chip/program Port 0 direction, Port 1 direction or IRQ source (dependent on BA + 3) BA + 2 Read Digital IRQ Status/ Set Digital Control Register Read digital interrupt status word Program digital control register BA + 3 Register Description Read Function Write Function Address * (Decimal) Digital I/O Port 2 Read Port 2 digital input lines Program Port 2 digital output lines BA + 4 Digital I/O Port 3 Read Port 3 digital input lines Program Port 3 digital output lines BA + 5 Clear IRQ/Program Port Direction & IRQ Source Clear digital IRQ status flag/read Port 2 direction, Port 3 direction or IRQ source (dependent on BA + 7) Clear digital chip/program Port 2 direction, Port 3 direction or IRQ source (dependent on BA + 7) BA + 6 Read Digital IRQ Status/ Set Digital Control Register Read digital interrupt status word Program digital control register BA + 7 Register Description Read Function Write Function Address * (Decimal) Digital I/O Port 4 Read Port 4 digital input lines Program Port 4 digital output lines BA + 8 Digital I/O Port 5 Read Port 5 digital input lines Program Port 5 digital output lines BA + 9 Clear IRQ/Program Port Clear digital IRQ status flag/read Port 4 direction, Port 5 direction or Clear digital chip/program Port 4 direction, Port 5 direction or IRQ Direction & IRQ Source IRQ source (dependent on BA + 11) source (dependent on BA + 11) BA + 10 Read Digital IRQ Status/ Set Digital Control Register Read digital interrupt status word Program digital control register BA + 11

77 D7 D6 D5 D4 D3 D2 D1 D0 D7 D6 D5 D4 D3 D2 D1 D0 D7 D6 D5 D4 D3 D2 D1 D0 D7 D6 D5 D4 D3 D2 D1 D0

78 D7 D6 D5 D4 D3 D2 D1 D0 D7 D6 D5 D4 D3 D2 D1 D0 D7 D6 D5 D4 D3 D2 D1 D0

79 Register Description Read Function Write Function Address * (Decimal) Digital I/O Port 0 Read Port 0 digital input lines Program Port 0 digital output lines BA + 0 Digital I/O Port 1 Read Port 1 digital input lines Program Port 1 digital output lines BA + 1 Port 0 Clear/ Direction/Mask/Compare Clear digital IRQ status flag/read Port 0 direction, mask or compare register (dependent on BA + 3) Clear digital chip/program Port 0 direction, mask or compare register (dependent on BA + 3) BA + 2 Read Digital IRQ Status/ Set Digital Control Register Read digital interrupt status word Program digital control register BA + 3 Register Description Read Function Write Function Address * (Decimal) Digital I/O Port 2 Read Port 2 digital input lines Program Port 2 digital output lines BA + 4 Digital I/O Port 3 Read Port 3 digital input lines Program Port 3 digital output lines BA + 5 Port 2 Clear/ Direction/Mask/Compare Clear digital IRQ status flag/read Port 2 direction, mask or compare register (dependent on BA + 7) Clear digital chip/program Port 2 direction, mask or compare register (dependent on BA + 7) BA + 6 Read Digital IRQ Status/ Set Digital Control Register Read digital interrupt status word Program digital control register BA + 7 Register Description Read Function Write Function Address * (Decimal) Digital I/O Port 4 Read Port 4 digital input lines Program Port 4 digital output lines BA + 8 Digital I/O Port 5 Read Port 5 digital input lines Program Port 5 digital output lines BA + 9 Port 4 Clear/ Direction/Mask/Compare Clear digital IRQ status flag/read Port 4 direction, mask or compare register (dependent on BA + 11) Clear digital chip/program Port 4 direction, mask or compare register (dependent on BA + 3) BA + 10 Read Digital IRQ Status/ Set Digital Control Register Read digital interrupt status word Program digital control register BA + 11

80 D7 D6 D5 D4 D3 D2 D1 D0 D7 D6 D5 D4 D3 D2 D1 D0 D7 D6 D5 D4 D3 D2 D1 D0 D7 D6 D5 D4 D3 D2 D1 D0

81 D7 D6 D5 D4 D3 D2 D1 D0 D7 D6 D5 D4 D3 D2 D1 D0

82 D7 D6 D5 D4 D3 D2 D1 D0

83 CLOCK DIGITAL INPUT IRQ OUT

84

85

86

87

88 DM6814/DM5814 User Settings Base I/O Address: (hex) (decimal) IRQ Channel:

DN-8368MB Quick Start V1.0 1

DN-8368MB Quick Start V1.0 1 DN-8368MB Quick Start V 1.0 DN-8368MB Quick Start V1.0 1 1 Introduction The DN-8368MB is a terminal board connecting between Mitsubishi J2S series servo driver (with pulse train input amplifier) and ICP

Detaljer

INF2270. Datamaskin Arkitektur

INF2270. Datamaskin Arkitektur INF2270 Datamaskin Arkitektur Hovedpunkter Von Neumann Arkitektur ALU Minne SRAM DRAM RAM Terminologi RAM Signaler Register Register overføringsspråk Von Neumann Arkitektur John von Neumann publiserte

Detaljer

REMOVE CONTENTS FROM BOX. VERIFY ALL PARTS ARE PRESENT READ INSTRUCTIONS CAREFULLY BEFORE STARTING INSTALLATION

REMOVE CONTENTS FROM BOX. VERIFY ALL PARTS ARE PRESENT READ INSTRUCTIONS CAREFULLY BEFORE STARTING INSTALLATION 2011-2014 FORD EXPLORER PARTS LIST Qty Part Description Qty Part Description 1 Bull Bar 2 12mm x 35mm Bolt Plates 1 Passenger/Right Mounting Bracket 2 12mm Nut Plate 1 Driver/Left Mounting Bracket 2 12mm

Detaljer

INF2270. Datamaskin Arkitektur

INF2270. Datamaskin Arkitektur INF2270 Datamaskin Arkitektur Hovedpunkter Von Neumann Arkitektur ALU Minne SRAM DRAM RAM Terminologi RAM Signaler Register Register overføringsspråk Von Neumann Arkitektur John von Neumann publiserte

Detaljer

1: { 2: Display functions 3: ============================================================= 4: Instruction DB5 DB4 DB3 DB2 DB1 DB0 5:

1: { 2: Display functions 3: ============================================================= 4: Instruction DB5 DB4 DB3 DB2 DB1 DB0 5: C:\My Documents\prosjekter\dybdesensor\DYBDE.PAS Page 1 1: { 2: Display functions 3: ============================================================= 4: Instruction DB5 DB4 DB3 DB2 DB1 DB0 5: -------------------------------------------------------------

Detaljer

ELSEMA 1, 2, 4-Channel 27MHz Transmitter FMT312E, FMT31202E, FMT31204E

ELSEMA 1, 2, 4-Channel 27MHz Transmitter FMT312E, FMT31202E, FMT31204E FMT-312E, FMT-31202E, FMT-31204E 12V 1Watt 27MHz Transmitter Features 3 versions available 1-channel (FMT-312E), 2-channel (FMT- 31202E) and 4-channel (FMT-31204E) 1 Watt Transmitter with current consumption

Detaljer

Start Here USB *CC * *CC * USB USB

Start Here USB *CC * *CC * USB USB 1 USB Start Here USB 11 USB WARNING: To ensure that the software is installed correctly, do not connect the USB cable until step 11. 11 USB 2 a. b. Lower both the paper tray and the print cartridge door.

Detaljer

Rom-Linker Software User s Manual

Rom-Linker Software User s Manual Rom-Linker Software User s Manual Tel.: +886-2-2274-1347 Fax. +886-2-2273-3014 Http://www.hmt.com.tw e-mail: hmtsales@hmt.com.tw Contents Catalogue Page (1) Rom-Linker Starting Screen... 01 (2) Rom-Linker

Detaljer

TSXCTY2C ( ) Opp/ned teller 1 MHZ SSI

TSXCTY2C ( ) Opp/ned teller 1 MHZ SSI Produktdatablad Karakteristikk TSXCTY2C (45 007 86) Opp/ned teller 1 MHZ SSI Hovedkarakteristikk Produktspekter Modicon Premium Automation platform Produkt eller komponent type Measurement and counter

Detaljer

TwidoSuite kommunikasjon

TwidoSuite kommunikasjon TwidoSuite kommunikasjon TwidoSuite kursunderlag: Kommunikasjon via Modbus seriell, Ethernet, Remote link, ASCII, CanOpen og AS-i. Macroer for kommunikasjon Modbus 2 Modbus port Bruk programmeringsporten

Detaljer

Oversikt over I/O tilkoblinger og moduler på modellbyen

Oversikt over I/O tilkoblinger og moduler på modellbyen Oversikt over I/O tilkoblinger og moduler på modellbyen (Dette er et vedlegg som tilhører Hoveddokumentet B014-106 HMI løsning med Wanderware 2014). Her er oversikt over alle modulene som er brukt på modellbyen.

Detaljer

C:\web\service-elektronikk\fagprover\Anders\flashlite_program_Anders.pas Page 1

C:\web\service-elektronikk\fagprover\Anders\flashlite_program_Anders.pas Page 1 C:\web\service-elektronikk\fagprover\Anders\flashlite_program_Anders.pas Page 1 { F A G P R Ø V E N V Å R E N 1 9 9 9 Universitetet i Bergen Institutt for den Faste Jords Fysikk A D - K O R T P R O G R

Detaljer

Prosedyrer. Lars Vidar Magnusson. October 26, Lars Vidar Magnusson () Forelesning i DAS October 26, / 19

Prosedyrer. Lars Vidar Magnusson. October 26, Lars Vidar Magnusson () Forelesning i DAS October 26, / 19 Prosedyrer Lars Vidar Magnusson October 26, 2011 Lars Vidar Magnusson () Forelesning i DAS 11.10.2011 October 26, 2011 1 / 19 Repetisjon om triggere og prosedyrer Triggere og prosedyrer ligner på hverandre

Detaljer

Oversikt over SMS kommandoer for Holars 2020G

Oversikt over SMS kommandoer for Holars 2020G Oversikt over SMS kommandoer for Holars 2020G Det er to ulike menyer for å programmere / styre sentralen. 1. Bruker SMS Her kan brukeren styre alarmen Av/På samt styre utgangsmoduler og Waveman moduler.

Detaljer

dynamiske data dynamiske data statiske data program dynamiske data statiske data reservert program dynamiske data statiske data reservert program

dynamiske data dynamiske data statiske data program dynamiske data statiske data reservert program dynamiske data statiske data reservert program Alle prosesser får et helt adresserom! antall prosesser varierer hele tiden! in 47, våren 997 hukommelseshierarki 2 Mange prosessers og ett fysiske adresserom? Jo, bruk den fysiske hukommelsen som en cache

Detaljer

I oppgave 1 skal det prøves ut en binærteller i en integrert krets (IC). Telleren som skal brukes er SN74HC393N, hvor

I oppgave 1 skal det prøves ut en binærteller i en integrert krets (IC). Telleren som skal brukes er SN74HC393N, hvor Lab 8 Datakonvertering Oppgave 1: Binærteller I oppgave 1 skal det prøves ut en binærteller i en integrert krets (IC). Telleren som skal brukes er SN74HC393N, hvor SN står for fabrikant: Texas Instruments.

Detaljer

ytelsen til hukommelseshierarkier

ytelsen til hukommelseshierarkier Tema for denne forelesningen: virtuell hukommelse ytelsen til hukommelseshierarkier andre ting å cache e in 47, våren 999 hukommelseshierarki 2 Alle prosesser får et helt adresserom! stakk stakk stakk

Detaljer

Exercise 1: Phase Splitter DC Operation

Exercise 1: Phase Splitter DC Operation Exercise 1: DC Operation When you have completed this exercise, you will be able to measure dc operating voltages and currents by using a typical transistor phase splitter circuit. You will verify your

Detaljer

SAS FANS NYTT & NYTTIG FRA VERKTØYKASSA TIL SAS 4. MARS 2014, MIKKEL SØRHEIM

SAS FANS NYTT & NYTTIG FRA VERKTØYKASSA TIL SAS 4. MARS 2014, MIKKEL SØRHEIM SAS FANS NYTT & NYTTIG FRA VERKTØYKASSA TIL SAS 4. MARS 2014, MIKKEL SØRHEIM 2 TEMA 1 MULTIPROSESSERING MED DATASTEGET Multiprosessering har lenge vært et tema i SAS Stadig ny funksjonalitet er med på

Detaljer

Slope-Intercept Formula

Slope-Intercept Formula LESSON 7 Slope Intercept Formula LESSON 7 Slope-Intercept Formula Here are two new words that describe lines slope and intercept. The slope is given by m (a mountain has slope and starts with m), and intercept

Detaljer

Screen 2.4" 3.5" Color Touch 4.3" Color Touch. RS232/485 Yes Yes Yes Yes Yes* USB device, mini-b Com Ports, separate order, user-installed

Screen 2.4 3.5 Color Touch 4.3 Color Touch. RS232/485 Yes Yes Yes Yes Yes* USB device, mini-b Com Ports, separate order, user-installed Vision OPLC V130-33-T2/V130-J-T2 V350-35-T2/V350-J-T2 V430-J-T2 Installation Guide 12 Digital Inputs, including 2 Analog, 3 HSC/Shaft-encoder inputs 12 Transistor Outputs General Description All of the

Detaljer

Screen 2.4" 3.5" Color Touch 4.3" Color Touch. RS232/485 Yes Yes Yes Yes Yes* USB device, mini-b Com Ports, separate order, user-installed

Screen 2.4 3.5 Color Touch 4.3 Color Touch. RS232/485 Yes Yes Yes Yes Yes* USB device, mini-b Com Ports, separate order, user-installed V130-33-T38/V130-J-T38 V350-35-T38/V350-J-T38 V430-J-T38 Installation Guide 22 Digital Inputs, including 2 Analog, 2 HSC/Shaft-encoder inputs 16 Transistor Outputs General Description All of the controllers

Detaljer

C:\My Documents\Fagprove\Flashlite V25+\LED_Link.pas Printed at 16:06 on 17 Feb 2000 Page 1 of 6

C:\My Documents\Fagprove\Flashlite V25+\LED_Link.pas Printed at 16:06 on 17 Feb 2000 Page 1 of 6 C\My Documents\Fagprove\Flashlite V25+\LED_Link.pas Printed at 1606 on 17 Feb 2000 Page 1 of 6 { ===================================================================================== L E D - L I N K P

Detaljer

TDT DESEMBER, 2014, 09:00 13:00. Norwegian University of Science and Technology Engineering The Department of Computer and Information Science

TDT DESEMBER, 2014, 09:00 13:00. Norwegian University of Science and Technology Engineering The Department of Computer and Information Science Norwegian University of Science and Technology Engineering The Department of Computer and Information Science TDT416 DATAMASKINER GRUNNKURS EKSAMEN 4. DESEMBER, 214, 9: 13: Kontakt under eksamen: Gunnar

Detaljer

STBART0200K ( ) STB kit 2 inn ana multitemp 16bit

STBART0200K ( ) STB kit 2 inn ana multitemp 16bit Produktdatablad Karakteristikk STBART0200K (45 033 32) STB kit 2 inn ana multitemp 16bit Alternativer Absolute maximum input Cold swapping Hot swapping fallback Fallback status Hovedkarakteristikk Produktspekter

Detaljer

TDT4160 17. AUGUST, 2013, 09:00 13:00. Norwegian University of Science and Technology Engineering The Department of Computer and Information Science

TDT4160 17. AUGUST, 2013, 09:00 13:00. Norwegian University of Science and Technology Engineering The Department of Computer and Information Science Norwegian University of Science and Technology Engineering The Department of Computer and Information Science TDT416 DATAMASKINER GRUNNKURS EKSAMEN 17. AUGUST, 213, 9: 13: Kontakt under eksamen: Gunnar

Detaljer

BMXART0814 ( ) M340 8 inn ana TC/RTD, 2*FCN

BMXART0814 ( ) M340 8 inn ana TC/RTD, 2*FCN Produktdatablad Karakteristikk BMXART0814 (45 461 79) M340 8 inn ana TC/RTD, 2*FCN Alternativer Analog/digital conversion Oppløsning analog inngang Inngangsimpedans Permitted overload on inputs Common

Detaljer

SHORE POWER CONVERTER LIST 2018

SHORE POWER CONVERTER LIST 2018 2018 POWER AT YOUR CONTROL Shore cord capacities These tables provide a approximate indication of the amount of kva capacity of different amperage shore cords at common worldwide voltages. Use these tables

Detaljer

Kombinatorisk og synkron logikk. Kapittel 4

Kombinatorisk og synkron logikk. Kapittel 4 Kombinatorisk og synkron logikk Kapittel 4 Eksempel; FIFO First-In-First-Out Eksempelet i boka er en noe redusert fifo (mangler empty flag, full flag osv.), men har de viktigste elementene Denne FIFOen

Detaljer

SRAM basert FPGA INF H10 1

SRAM basert FPGA INF H10 1 SRAM basert FPGA Prinsipp: SRAM-minne inne i FPGA lagrer kretsens konfigurasjon Fordeler Kan reprogrammeres uendelig mange ganger Plass til mye logikk Kan lett endre funksjonaliteten til systemet Trenger

Detaljer

TDT DESEMBER, 2012, 09:00 13:00. Norwegian University of Science and Technology Engineering The Department of Computer and Information Science

TDT DESEMBER, 2012, 09:00 13:00. Norwegian University of Science and Technology Engineering The Department of Computer and Information Science Norwegian University of Science and Technology Engineering The Department of Computer and Information Science TDT4160 DATAMASKINER GRUNNKURS EKSAMEN 17. DESEMBER, 2012, 09:00 13:00 Kontakt under eksamen:

Detaljer

File: C:\My Documents\fagprove\tp\klokke.txt , 08:42:20

File: C:\My Documents\fagprove\tp\klokke.txt , 08:42:20 1 {************************************************************** 2 3 F A G P R Ø V E 4 5 F O R 6 7 H A L V A R D S K U R V E 8 9 10 11 12 Versjon: Dato: Beskrivelse: 13 ----------------------------------------------------------------

Detaljer

EMS 1. Music Streamer. Owner's Manual

EMS 1. Music Streamer. Owner's Manual EMS 1 Music Streamer Owner's Manual EN N 2 ENG About the EMS 1 Electrocompaniet Music Streamer This unit is designed to work with the Electrocompaniet PD 1 DAC only. A radio link is established between

Detaljer

AVDELING FOR TEKNOLOGI

AVDELING FOR TEKNOLOGI AVDELING FOR TEKNOLOGI PROGRAM FOR ELEKTRO- OG DATATEKNIKK Eksamensdato: 10. mai 2011 Varighet: 0900 1400 Fagnr. / navn: EDT205T MIKROPROSESSORSYSTEMER Klasse(r): 2EE Faglærer(e): Rolf Kristian Snilsberg,

Detaljer

LVDS Gradient Interface

LVDS Gradient Interface LVDS Gradient Interface 48-Bit LVDS Gradient Interface Technical Manual Version 001 NMR Spectroscopy The information in this manual may be altered without notice. BRUKER BIOSPIN accepts no responsibility

Detaljer

Vi skal se på lambda-uttrykk. Følgende er definerte og vil bli brukt gjennom oppgaven

Vi skal se på lambda-uttrykk. Følgende er definerte og vil bli brukt gjennom oppgaven SLI 230 - side 2 av 8 EKSAMENSOPPGAVE - SLI 230 - VÅR 2000 Nedenfor følger eksamensoppgaver i SLI 230. Først om oppgavene Bakerst følger to sider med hjelp slik det er avtalt - liste over primitiver fra

Detaljer

HONSEL process monitoring

HONSEL process monitoring 6 DMSD has stood for process monitoring in fastening technology for more than 25 years. HONSEL re- rivet processing back in 990. DMSD 2G has been continuously improved and optimised since this time. All

Detaljer

Eksamensinformasjon. Prosesser. Prosesser. Eksamensoppgave. Operativsystemer (DATS/ITPE2500) Bokmål 06. juni 2017 kl

Eksamensinformasjon. Prosesser. Prosesser. Eksamensoppgave. Operativsystemer (DATS/ITPE2500) Bokmål 06. juni 2017 kl Eksamensinformasjon Eksamensoppgave Operativsystemer (DATS/ITPE2500) Bokmål 06. juni 2017 kl. 9.00-12.00 Hjelpemidler: Ingen hjelpemidler er tillatt. Andre opplysninger: Les nøye gjennom oppgavene før

Detaljer

INF3430/4431. Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA

INF3430/4431. Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA INF3430/4431 Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA Agenda Hva skal vi gjøre i INF3430/4431? VDHL simulering/syntese Place & Route til FPGA Prøve ut design i ekte hardware Hvorfor VHDL

Detaljer

Du må håndtere disse hendelsene ved å implementere funksjonene init(), changeh(), changev() og escape(), som beskrevet nedenfor.

Du må håndtere disse hendelsene ved å implementere funksjonene init(), changeh(), changev() og escape(), som beskrevet nedenfor. 6-13 July 2013 Brisbane, Australia Norwegian 1.0 Brisbane har blitt tatt over av store, muterte wombater, og du må lede folket i sikkerhet. Veiene i Brisbane danner et stort rutenett. Det finnes R horisontale

Detaljer

RCJ18 Flush-mounted dimmer for LEDs

RCJ18 Flush-mounted dimmer for LEDs D RCJ18 Flush-mounted dimmer for LEDs Model _ 12-48 V DC RCJ18E5001A01 Technical data Frequency: 868.30 MHz Modulation: FSK Coding: Easywave EasywavePlus Dimming procedure: PWM Voltage supply: 12-48 V

Detaljer

GLOBALCOMSERVER HP 9100C DIGITAL SENDER GATEWAY ADMINISTRATOR S GUIDE 1998 AVM INFORMATIQUE (UPDATED: AUGUST 22, 2006)

GLOBALCOMSERVER HP 9100C DIGITAL SENDER GATEWAY ADMINISTRATOR S GUIDE 1998 AVM INFORMATIQUE (UPDATED: AUGUST 22, 2006) PAGE 1 / 13 GLOBALCOMSERVER HP 9100C DIGITAL SENDER GATEWAY ADMINISTRATOR S GUIDE 1998 AVM INFORMATIQUE (UPDATED: AUGUST 22, 2006) PAGE 2 / 13 LICENSE LICENSE Information in this document is subject to

Detaljer

User manual English Svenska Norsk

User manual English Svenska Norsk User manual English Svenska Norsk Copyright This manual is the copyright of CI no 556520-4137. No part of this manual may be revised, copied or transmitted in any way without written permission from CI

Detaljer

EURODESK MX

EURODESK MX MX9000 1.1 2002 7 www.behringer.com 2 EHRINGER EHRINGER 2001 EHRINGER 1. MX9000 + 1.1 1.1.1 + 1.1.2 + + + 1.1.3 19 2.5 HU 3 HU PSU + + + 1. 3 1.1.4 1.1: 2. 2.1 SPLIT INLINE SPLIT INLINE 24 into 8 into

Detaljer

Input/Output. når tema pensum. 13/4 busser, sammenkobling av maskiner /4 PIO, DMA, avbrudd/polling

Input/Output. når tema pensum. 13/4 busser, sammenkobling av maskiner /4 PIO, DMA, avbrudd/polling Input/Output når tema pensum 13/4 busser, sammenkobling av maskiner 8.2 8.4 20/4 PIO, DMA, avbrudd/polling 8.5 8.6 in 147, våren 1999 Input/Output 1 Tema for denne forelesningen: sammenkobling inne i datamaskiner

Detaljer

SIE 4005, 2/10 (2. Forelesn.)

SIE 4005, 2/10 (2. Forelesn.) SIE 4005, 2/10 (2. Forelesn.) Første forelesning: 7.1 Datapaths and operations 7.2 Register Transfer operations 7.3 Microoperations (atitm., logic, shift) 7.4 MUX-based transfer 7.5 Bus-based transfer

Detaljer

Løsningsforslag til slutteksamen i SESM3401 Styring av mekatroniske systemer

Løsningsforslag til slutteksamen i SESM3401 Styring av mekatroniske systemer Høgskolen i Buskerud Løsningsforslag til slutteksamen i SESM3401 Styring av mekatroniske systemer Utarbeidet av Finn Haugen, emnets lærer. Eksamensdato: Mandag 11. desember 2006. Varighet: 4 timer. Vekt

Detaljer

Høgskoleni østfold EKSAMEN. Oppgavesettet består av 8 sider inklusiv denne forsiden og vedlegg.

Høgskoleni østfold EKSAMEN. Oppgavesettet består av 8 sider inklusiv denne forsiden og vedlegg. Høgskoleni østfold EKSAMEN Emnekode:Emne: ITD13012Datateknikk Dato:Eksamenstid: 13. mai 2015kl. 09.00 til k1.12.00, 3 timer Hjelpemidler: to A4-ark (fire sider) med egne notater Ikke-kommuniserende kalkulator

Detaljer

MID-TERM EXAM TDT4258 MICROCONTROLLER SYSTEM DESIGN. Wednesday 3 th Mars Time:

MID-TERM EXAM TDT4258 MICROCONTROLLER SYSTEM DESIGN. Wednesday 3 th Mars Time: Side 1 av 8 Norwegian University of Science and Technology DEPARTMENT OF COMPUTER AND INFORMATION SCIENCE MID-TERM EXAM TDT4258 MICROCONTROLLER SYSTEM DESIGN Wednesday 3 th Mars 2010 Time: 1615-1745 Allowed

Detaljer

INF3430. Kretsteknologier Programmeringsteknologier VHDL-Access datatyper

INF3430. Kretsteknologier Programmeringsteknologier VHDL-Access datatyper INF3430 Kretsteknologier Programmeringsteknologier VHDL-Access datatyper l l l Programmable Read Only Memory a b c Predefined link Programmable link a b c Predefined link Programmable link Address 0 Address

Detaljer

User Guide

User Guide User Guide Micro USB port Back up new data Restore previously backed up data More settings Enable or disable a SIM card Select 4G/3G SIM Select default SIM for data services Configure SIM

Detaljer

Forelesning 9. Registre, tellere og minne

Forelesning 9. Registre, tellere og minne Forelesning 9 Registre, tellere og minne Registre Tri-state output Shift registre Tellere Binær rippelteller Synkronteller Hovedpunkter registre og tellere 2 Register N bits register - parallellkobling

Detaljer

Obsolete Product(s) - Obsolete Product(s)

Obsolete Product(s) - Obsolete Product(s) 96kHz DIGITAL AUDIO INTERFACE TRANSMITTER MONOLITHIC DIGITAL AUDIO INTERFACE TRANSMITTER 3.3V SUPPLY VOLTAGE SUPPORTS: AES/EBU, IEC 958, S/PDIF, & EIAJ CP-340 Professional and Consumer Formats PARITY BITS

Detaljer

Arduino med Atmel studio 6.x (6.1)

Arduino med Atmel studio 6.x (6.1) Arduino med Atmel studio 6.x (6.1) Etter å ha sett meg grenseløs lei av Arduinos IDE har jeg i lenge tid brukt Atmels eget AS6.1 Tenkte derfor lage en liten hvordan sette den opp til å virke med arduino.

Detaljer

LabVIEW seriekommunikasjon med mikrokontroller

LabVIEW seriekommunikasjon med mikrokontroller KYBERNETIKKLABORATORIET FAG: Industriell IT DATO: 08.15 OPPG.NR.: LV3 LabVIEW seriekommunikasjon med mikrokontroller Oppgave Denne oppgaven går ut på å lage et LabVIEW-program som kan kommunisere med en

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Målform: Norsk Eksamensdato: 20. mai 2014 Varighet/eksamenstid: 0900-1400 Emnekode: Emnenavn: TELE3010-A 14V Mikroprosessorsystemer Klasse(r): 2EE 2EI Studiepoeng:

Detaljer

Oppgave 1a Definer følgende begreper: Nøkkel, supernøkkel og funksjonell avhengighet.

Oppgave 1a Definer følgende begreper: Nøkkel, supernøkkel og funksjonell avhengighet. TDT445 Øving 4 Oppgave a Definer følgende begreper: Nøkkel, supernøkkel og funksjonell avhengighet. Nøkkel: Supernøkkel: Funksjonell avhengighet: Data i en database som kan unikt identifisere (et sett

Detaljer

INSTALLATION GUIDE FTR Cargo Rack Regular Ford Transit 130" Wheelbase ( Aluminum )

INSTALLATION GUIDE FTR Cargo Rack Regular Ford Transit 130 Wheelbase ( Aluminum ) INSTALLATION GUIDE 1505-FTR Cargo Rack Regular Ford Transit 130" Wheelbase ( Aluminum ) QUICK START GUIDE Phase 1 - Assembly q 1.1 Setup... q 1.2 Cargo Rack Assembly... 3-4 5-6 Phase 2 - Installation q

Detaljer

2018 ANNUAL SPONSORSHIP OPPORTUNITIES

2018 ANNUAL SPONSORSHIP OPPORTUNITIES ANNUAL SPONSORSHIP OPPORTUNITIES MVP SPONSORSHIP PROGRAM CALLING ALL VENDORS! Here is your chance to gain company exposure while strengthening your dealer Association at the same time. Annual Sponsorship

Detaljer

FBWF under Windows 7 Standard

FBWF under Windows 7 Standard Systemet kjører med FBWF (File Based Write Filter) som minsker skriving til disk og som også beskytter systemet for endringer. FBWF er også en god beskyttelse i tilfelle strømbrudd. FBWF funksjonen er

Detaljer

TDT4160 16. DESEMBER, 2013, 09:00 13:00. Norwegian University of Science and Technology Engineering The Department of Computer and Information Science

TDT4160 16. DESEMBER, 2013, 09:00 13:00. Norwegian University of Science and Technology Engineering The Department of Computer and Information Science Norwegian University of Science and Technology Engineering The Department of Computer and Information Science TDT416 DATAMASKINER GRUNNKURS EKSAMEN 16. DESEMBER, 213, 9: 13: Kontakt under eksamen: Gunnar

Detaljer

Sensorless 3-phase brushless DC Motor driver

Sensorless 3-phase brushless DC Motor driver Sensorless 3-phase brushless DC Motor driver Features PWM (pulse width modulation) speed control Built-in FG(frequency generation) for rotation speed calculation, or RD(rotation detection) signal output

Detaljer

Antall vedlegg O Tillatte hjelpemidler:

Antall vedlegg O Tillatte hjelpemidler: ~ Emne: Mekatronikk Emnekode. SO504M Faglig ansvarlig Gruppe(r): 3MM pato: 16.12.03 Eksamenstid 0900-1400 Eksamensoppgaven består av Anta]] sider: 5 Antall oppgaver: 4 Antall vedlegg O Tillatte hjelpemidler:

Detaljer

INSTALLATION GUIDE FTR Cargo Rack Regular Ford Transit 130" Wheelbase ( Aluminum )

INSTALLATION GUIDE FTR Cargo Rack Regular Ford Transit 130 Wheelbase ( Aluminum ) INSTALLATION GUIDE 1505-FTR Cargo Rack Regular Ford Transit 130" Wheelbase ( Aluminum ) QUICK START GUIDE Phase 1 - Assembly q 1.1 Setup... q 1.2 Cargo Rack Assembly... 3-4 5-6 Phase 2 - Installation q

Detaljer

1 TDT4160 Datamaskiner Grunnkurs Gunnar Tufte

1 TDT4160 Datamaskiner Grunnkurs Gunnar Tufte 1 TDT4160 Datamaskiner Grunnkurs 2011 Gunnar Tufte ISA (5) 2 Instruksjonsformat kort/langt 3 Adresseringsmodi 4 Instruksjonstypar I/O avbrudd 5 Avbrudd: Kva og korleis ISA-definert 6 Avbrudd (Interrupt,

Detaljer

SB168-ES og M7CL Quick Setup Guide Norsk versjon

SB168-ES og M7CL Quick Setup Guide Norsk versjon SB168-ES og M7CL Quick Setup Guide Norsk versjon February, 2009 SB168-ES og M7CL Quick Setup Guide Om denne guiden Denne guiden inneholder informasjon om rask og enkel konfigurering av 48 inputs og 24

Detaljer

Data Sheet for Joysticks

Data Sheet for Joysticks Contactless Hall Sensors Optionally with Pushbutton function in handle Mounting option Threaded housing Waterproof, IP class 68 (1 metre) / IP69K The TRY14 series offers proportional miniature-size thumb

Detaljer

Product Change Notice

Product Change Notice Product Change Notice Alternate site qualified for assembly of assembly of Device Engineering Inc. small outline integrated circuit (SOIC) packages. Change of lead finish from Tin Lead SnPb to Nickel Palladium

Detaljer

manual Movie digitizer Moviesaver 300 Item: Plexgear

manual Movie digitizer Moviesaver 300 Item: Plexgear manual Movie digitizer Moviesaver 300 Item: 99016 EN NO SV Plexgear ENGLISH ENGLISH Start 1. Install (Google Play) and open application VivaCap. 4. Tap the button to the right of Video Capture if you need

Detaljer

Tilstandsmaskiner (FSM) Kapittel 5

Tilstandsmaskiner (FSM) Kapittel 5 Tilstandsmaskiner (FSM) Kapittel 5 1) Sette opp tilstandsdiagram Tradisjonell konstruksjonsmetode 2) Sette opp tilstandstabell ut fra tilstandsdiagrammet Nåværende tilstand (PS) og input Neste tilstand

Detaljer

Bruk av interrupt og Timer i Arduino-program.

Bruk av interrupt og Timer i Arduino-program. 1 Bruk av interrupt og Timer i Arduino-program. Når vi skal utføre handlinger som kan inntreffe tilfeldig (ikke forutsigbare hendelser), slik som å håndtere alarmer, at IO ønsker service etc kan vi benytte

Detaljer

Unit Relational Algebra 1 1. Relational Algebra 1. Unit 3.3

Unit Relational Algebra 1 1. Relational Algebra 1. Unit 3.3 Relational Algebra 1 Unit 3.3 Unit 3.3 - Relational Algebra 1 1 Relational Algebra Relational Algebra is : the formal description of how a relational database operates the mathematics which underpin SQL

Detaljer

Innhold. Introduksjon til parallelle datamaskiner. Ulike typer parallelle arkitekturer. Prinsipper for synkronisering av felles hukommelse

Innhold. Introduksjon til parallelle datamaskiner. Ulike typer parallelle arkitekturer. Prinsipper for synkronisering av felles hukommelse Innhold Introduksjon til parallelle datamaskiner. Ulike typer parallelle arkitekturer Prinsipper for synkronisering av felles hukommelse Multiprosessorer koblet sammen av én buss 02.05 2001 Parallelle

Detaljer

Oppgave 2 Maskinkode (vekt 12%)

Oppgave 2 Maskinkode (vekt 12%) UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i IN 47 Program- og maskinvare Eksamensdag: 29. mai 2 Tid for eksamen: 9. 5. Oppgavesettet er på 8 sider. Vedlegg: Ingen Tillatte

Detaljer

CTGA Meeting February 2, 2012

CTGA Meeting February 2, 2012 CTGA Meeting February 2, 2012 Outline Product Family Machine Issues Emission Standards Future Developments Product Family Commander Johnson Star I-Star Vine Diverter Commander 2002 Heavy Duty Wheel Motors

Detaljer

Bokmål / Nynorsk / English NORGES TEKNISK- NATURVITENSKAPELIGE UNIVERSITET INSTITUTT FOR FYSIKK. Eksamen TFY4185 Måleteknikk

Bokmål / Nynorsk / English NORGES TEKNISK- NATURVITENSKAPELIGE UNIVERSITET INSTITUTT FOR FYSIKK. Eksamen TFY4185 Måleteknikk Bokmål / Nynorsk / English Side 1 av 5 NORGES TEKNISK- NATURITENSKAPELIGE UNIERSITET INSTITUTT FOR FYSIKK Steinar Raaen tel. 482 96 758 Eksamen TFY4185 Måleteknikk Mandag 17. desember 2012 Tid: 09.00-13.00

Detaljer

OT 250/ /700 P5

OT 250/ /700 P5 OT 250/120 277/700 P5 OPTOTRONIC Outdoor Constant current LED drivers Bruksområder _ Gate- og bybelysning _ Industri _ Egnet for armaturer med beskyttelsesklasse I Produktfordeler _ Høyt overspenningsvern:

Detaljer

Samtidige prosesser. Prosessor modus. Hvordan kan OS effektivt kontrollere brukerprosesser? Hvordan kan OS. kontrollere brukerprosesser?

Samtidige prosesser. Prosessor modus. Hvordan kan OS effektivt kontrollere brukerprosesser? Hvordan kan OS. kontrollere brukerprosesser? Samtidige To (tasks) må ikke ødelegge for hverandre: skrive til samme minne kapre for mye CPU-tid få systemet til å henge Beste løsning: All makt til OS = Preemptive multitasking Preemptive = rettighetsfordelende.

Detaljer

Trådløsnett med Windows XP. Wireless network with Windows XP

Trådløsnett med Windows XP. Wireless network with Windows XP Trådløsnett med Windows XP Wireless network with Windows XP Mai 2013 Hvordan koble til trådløsnettet eduroam med Windows XP Service Pack 3? How to connect to the wireless network eduroam with Windows XP

Detaljer

EMPIC MEDICAL. Etterutdanningskurs flyleger 21. april Lars (Lasse) Holm Prosjektleder Telefon: E-post:

EMPIC MEDICAL. Etterutdanningskurs flyleger 21. april Lars (Lasse) Holm Prosjektleder Telefon: E-post: EMPIC MEDICAL Etterutdanningskurs flyleger 21. april 2017 Lars (Lasse) Holm Prosjektleder Telefon: +47 976 90 799 E-post: Lrh@caa.no it-vakt@caa.no Luftfartstilsynet T: +47 75 58 50 00 F: +47 75 58 50

Detaljer

TSL2568, TSL2569 LIGHT-TO-DIGITAL CONVERTER

TSL2568, TSL2569 LIGHT-TO-DIGITAL CONVERTER Approximates Human Eye Response Approximately 4 More Sensitive Than TSL2560/6 Device Programmable Interrupt Function with User-Defined Upper and Lower Threshold Settings 6-Bit Digital Output with SMBus

Detaljer

ARDUINO STUDIELABEN PROGRAMMERING DIGITALE/ANALOGE INNDATA/UTDATA LYSDIODER FRITZING. Roger Antonsen INF januar 2012

ARDUINO STUDIELABEN PROGRAMMERING DIGITALE/ANALOGE INNDATA/UTDATA LYSDIODER FRITZING. Roger Antonsen INF januar 2012 ARDUINO PROGRAMMERING DIGITALE/ANALOGE INNDATA/UTDATA LYSDIODER ÅPEN SONE FOR EKSPERIMENTELL INFORMATIKK STUDIELABEN FRITZING Roger Antonsen INF1510 30. januar 2012 Arduino Cookbook, Michael Margolis Denne

Detaljer

Brukerinstruks / User Manual

Brukerinstruks / User Manual Brukerinstruks / User Manual Innstillinger / Settings Meny instillinger / Dashboard settings Fabrikk instillinger / Default settings 10 inch 8 inch Rød / Red = ikke forandre / do not modify 36V 48V 52V

Detaljer

GYRO MED SYKKELHJUL. Forsøk å tippe og vri på hjulet. Hva kjenner du? Hvorfor oppfører hjulet seg slik, og hva er egentlig en gyro?

GYRO MED SYKKELHJUL. Forsøk å tippe og vri på hjulet. Hva kjenner du? Hvorfor oppfører hjulet seg slik, og hva er egentlig en gyro? GYRO MED SYKKELHJUL Hold i håndtaket på hjulet. Sett fart på hjulet og hold det opp. Det er lettest om du sjølv holder i håndtakene og får en venn til å snurre hjulet rundt. Forsøk å tippe og vri på hjulet.

Detaljer

TDT DESEMBER, 2014, 09:00 13:00. Norwegian University of Science and Technology Engineering The Department of Computer and Information Science

TDT DESEMBER, 2014, 09:00 13:00. Norwegian University of Science and Technology Engineering The Department of Computer and Information Science Norwegian University of Science and Technology Engineering The Department of Computer and Information Science TDT416 DATAMASKINER GRUNNKURS EKSAMEN 4. DESEMBER, 214, 9: 13: Kontakt under eksamen: Gunnar

Detaljer

Blackwire 215/225. Analogt hodesett med ledning. Brukerhåndbok

Blackwire 215/225. Analogt hodesett med ledning. Brukerhåndbok Blackwire 215/225 Analogt hodesett med ledning Brukerhåndbok Innhold Velkommen 3 Trenger du mer hjelp? 3 Hva er det i esken 4 Integrerte kontroller 5 Bruke hodesettet 6 Justere hodebøylen 6 Plassere mikrofonbøylen

Detaljer

INF1400 Kap 1. Digital representasjon og digitale porter

INF1400 Kap 1. Digital representasjon og digitale porter INF4 Kap Digital representasjon og digitale porter Hovedpunkter Desimale / binære tall Digital hardware-representasjon Binær koding av bokstaver og lyd Boolsk algebra Digitale byggeblokker / sannhetstabell

Detaljer

INF3430/4431. Kretsteknologier Max. kap. 3

INF3430/4431. Kretsteknologier Max. kap. 3 INF3430/4431 Kretsteknologier Max. kap. 3 Kretsteknologier (Max. kap. 3) Programmerbar logikk kretser (PLD): Simple Programmable Logic Device (SPLD) Complex Programmable Logic Devices (CPLD) Field Programmable

Detaljer

Monostabil multivibrator One shot genererer en enkelt puls med spesifisert varighet kretsen har en stabil tilstand

Monostabil multivibrator One shot genererer en enkelt puls med spesifisert varighet kretsen har en stabil tilstand Lindem 22.april 2013 MULTIVIBRATORER En egen gruppe regenerative kretser. Brukes mest til generering av pulser i timere. 3 typer : Bistabile Monostabile Astabile Bistabil multivibrator Bistabil latch /

Detaljer

Start MATLAB. Start NUnet Applications Statistical and Computational packages MATLAB Release 13 MATLAB 6.5

Start MATLAB. Start NUnet Applications Statistical and Computational packages MATLAB Release 13 MATLAB 6.5 Start MATLAB Start NUnet Applications Statistical and Computational packages MATLAB Release 13 MATLAB 6.5 Prompt >> will appear in the command window Today: MATLAB overview In-class HW: Chapter 1, Problems

Detaljer

Elektronisk termostat med spareprogram. Lysende LCD display øverst på ovnen for enkel betjening.

Elektronisk termostat med spareprogram. Lysende LCD display øverst på ovnen for enkel betjening. Elektronisk termostat med spareprogram. Lysende LCD display øverst på ovnen for enkel betjening. 27.5 LCD Electronic thermostat with program setting. Bright LCD display placed at the top of the heater

Detaljer

LCD TV. user manual. imagine the possibilities.

LCD TV. user manual. imagine the possibilities. Contact SAMSUNG WORLDWIDE If you have any questions or comments relating to Samsung products, please contact the SAMSUNG customer care centre. Country Customer Care Centre Web Site AUSTRIA 0800-SAMSUNG

Detaljer

Evaluating Call-by-need on the Control Stack

Evaluating Call-by-need on the Control Stack Evaluating Call-by-need on the Control Stack Stephen Chang, David Van Horn, Matthias Felleisen Northeastern University 1 Lazy Abstract Machines Sharing implemented with: heap 2 Lazy Abstract Machines Sharing

Detaljer

Analyseøving 8 - løsningsforslag

Analyseøving 8 - løsningsforslag TTT4265 Elektronisk systemdesign og -analyse II Analyseøving 8 - løsningsforslag Innlevering tirsdag 3. November 8:00 Oppgave 1. Periodisitet, tidsskift, og tidsreversering (4p) Oppgave 2. Tidsskift (1p)

Detaljer

DEL 1 Setup BIOS Stian A. Johansen Terje Bratlie Espen Torås

DEL 1 Setup BIOS Stian A. Johansen Terje Bratlie Espen Torås DEL 1 Setup BIOS Stian A. Johansen Terje Bratlie Espen Torås 1: Hva slags CPU? - intel pentium CPU 3.20GHz Tekniske egenskaper? CPU SPEED: 3.2 GHz Busspeed: 800 MHz Prosessor ID: 0F64 L2 cache size: 4

Detaljer

Litt mer om Arduino. Roger Antonsen Sten Solli INF1510 31. januar 2011

Litt mer om Arduino. Roger Antonsen Sten Solli INF1510 31. januar 2011 Litt mer om Arduino Roger Antonsen Sten Solli INF1510 31. januar 2011 ARDUINO Input (Data) Prosessering Output Arduino Man kan bruke de 3 elementene i varierende grad, og også kutte noen helt ut. Det finnes

Detaljer

PSi Apollo. Technical Presentation

PSi Apollo. Technical Presentation PSi Apollo Spreader Control & Mapping System Technical Presentation Part 1 System Architecture PSi Apollo System Architecture PSi Customer label On/Off switch Integral SD card reader/writer MENU key Typical

Detaljer

Høgskoleni østfold EKSAMEN. Emnekode: Emne: ITD13012 Datateknikk (deleksamen 1, høstsemesteret) Dato: Eksamenstid: kl til kl.

Høgskoleni østfold EKSAMEN. Emnekode: Emne: ITD13012 Datateknikk (deleksamen 1, høstsemesteret) Dato: Eksamenstid: kl til kl. Høgskoleni østfold EKSAMEN Emnekode: Emne: ITD13012 Datateknikk (deleksamen 1, høstsemesteret) Dato: 02.12.2015 Eksamenstid: kl. 0900 til kl. 1200 Hjelpemidler: Faglærer: to A4-ark (fire sider) med egne

Detaljer

RT-U3HDDA USB 3.0 TO SATA ADAPTER. User s Manual. USB 3.0 to SATA Adapter USB 3.0 till SATA Adapter USB 3.0 til SATA Adapter

RT-U3HDDA USB 3.0 TO SATA ADAPTER. User s Manual. USB 3.0 to SATA Adapter USB 3.0 till SATA Adapter USB 3.0 til SATA Adapter RT-U3HDDA USB 3.0 TO SATA ADAPTER User s Manual USB 3.0 to SATA Adapter USB 3.0 till SATA Adapter USB 3.0 til SATA Adapter Introduction Thank you for purchasing this product. In order to obtain optimum

Detaljer

VEILEDNING TIL LABORATORIEØVELSE NR 8

VEILEDNING TIL LABORATORIEØVELSE NR 8 VEILEDNING TIL LABORATORIEØVELSE NR 8 «DIGITALVOLTMETER» FY-IN 204 Revidert utgave 98-03-05 Veiledning FY-IN 204 : Oppgave 8 8 Digital voltmeter Litteratur: Skjema på fig. 1, Millmann side 717-720 Oppgave:

Detaljer