INF3430/4431. Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA

Størrelse: px
Begynne med side:

Download "INF3430/4431. Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA"

Transkript

1 INF3430/4431 Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA

2 Agenda Hva skal vi gjøre i INF3430/4431? VDHL simulering/syntese Place & Route til FPGA Prøve ut design i ekte hardware Hvorfor VHDL eller andre HDL (Hardware Description Language)? Gjennomgang av dokumentasjon for labkort Spartan-3 FPGA oppbygning INF3430/4431 2

3 Hvorfor HDL? Syntese til design INF3430/4431 3

4 Hvorfor HDL? Teknologiuavhengig kode Forskjellig abstrakssjonsnivå Netlist: Boolean equations: U1: xor2 port map(a(0), b(0), x(0)); U2: xor2 port map(a(1), b(1), x(1)); U3: nor2 port map(x(0), x(1), aeqb); aeqb <= (a(0) xor b(0)) nor (a(1) xor b(1)); Concurrents statements: Sequential statements: aeqb <= 1 when a=b else 0 ; if a=b then aeqb <= 1 ; else aeqb <= 0 ; end if; INF3430/4431 4

5 Hvorfor HDL? Portabilitet VHDL/System Verilog er standardisert under IEEE (Institute of Electrical and Electronics Engineers) VHDL - IEEE 1076 System Verilog - IEEE 1364 INF3430/4431 5

6 Hvorfor HDL? Enkelt vedlikehold/utvidelse av design COUNT : inout std_logic_vector(3 downto 0); -- Telleverdi --- COUNTER : process (RESET,CLK) begin if(reset = '1') then COUNT <= (others => '0'); elsif rising_edge(clk) then COUNT <= COUNT + 1; end if; end process COUNTER; INF3430/4431 6

7 Hvorfor HDL? Enkelt vedlikehold/utvidelse av design COUNT : inout std_logic_vector(3 downto 0); -- Telleverdi INF3430/4431 7

8 Hvorfor HDL? Enkelt vedlikehold/utvidelse av design COUNT : inout std_logic_vector(7 downto 0); -- Telleverdi INF3430/4431 8

9 Spartan-3 labkort INF3430/4431 9

10 Spartan-3 labkort INF3430/

11 Spartan-3 labkort INF3430/

12 Spartan-3 labkort SRAM INF3430/

13 Spartan-3 labkort Sjusegmenter INF3430/

14 Styring av sjusegmentene a a b f b c g d e VCC AN3 e c f g ANi(i=0,1,2,3) AN2 AN1 d Dec Dec AN0 Disp3 Disp0 Disp1 Disp2 Disp3 Disp0 INF3430/

15 Spartan-3 labkort Push buttons, Switches, LEDs INF3430/

16 Spartan-3 labkort VGA port INF3430/

17 Spartan-3 labkort PS2 port (tastatur/mus) INF3430/

18 Spartan-3 labkort RS-232 port (serie port) INF3430/

19 Spartan-3 labkort 50MHz klokke INF3430/

20 Spartan-3 labkort. Ekstra klokke INF3430/

21 Spartan-3 labkort. Konfigurasjonsmode jumpere INF3430/

22 Spartan-3 labkort. Platform Flash minne INF3430/

23 Spartan-3 labkort. JTAG programming/debug ports INF3430/

24 Spartan-3 labkort. Power supplies (5V og 3.3V) 3.3V 5V INF3430/

25 Spartan-3 labkort Power supplies (2.5V og 1.2V) 2.5V 1.2V INF3430/

26 Spartan-3 labkort. Expansion connectors A1 expansion connector A2 expansion connector B1 expansion connector INF3430/

27 Spartan3-Arkitektur INF3430/

28 Spartan3-Arkitektur Input/Output-block Opptil 24 I/O standarder 7 high performance differensial CLB-Configurable Logic Blocks SRAM basert LUT (Look-up table) Block RAM Dual-port 18kbit i hver Hardware multipliers Beregner produkt av to 18bits inputs Viktig for DSP anvendelser DCM-Digital clock manager Faseforskyvning, klokkemultiplikasjon/divisjon Konfigurasjon INF3430/

29 Spartan-3 I/O-block INF3430/

30 Spartan-3 I/O-block INF3430/

31 Spartan-3 I/O-block INF3430/

32 Startan-3 I/O block INF3430/

33 Spartan-3 I/O banker Samme I/O standard innenfor samme bank Viktig å være obs på bank-grenser ved blanding av I/O standarder INF3430/

34 Spartan-3 Pinneplassering ft256 INF3430/

35 Spartan-3 CLB (Configurable Logic Block) INF3430/

36 Spartan-3 CLB INF3430/

37 Spartan-3 CLB INF3430/

38 Spartan-3 Block RAM INF3430/

39 Spartan-3 Block RAM Anvendelser FIFO First In-First Out buffere Viktig i datakommunikasjon for å lage køer Tilpasning av forskjellige bussbredder Tilpasning av forskjellige klokkedomener Kan brukes som programminne for innebygde prosessorer (jfr. Microblaze) Mellomlager mot eksternt lager (SDRAM) INF3430/

40 Spartan-3 Block RAM INF3430/

41 Spartan-3 Block RAM INF3430/

42 Spartan-3 Block RAM INF3430/

43 Spartan-3 Multiplikatorer INF3430/

44 Spartan-3 DCM (Digital Clock Manager) INF3430/

45 Spartan-3 DCM (Digital Clock Manager) INF3430/

46 Konfigurasjon Xilinx FPGA er SRAM basert Mister informasjon når strøm slås av Må derfor ha eget minne som husker virkemåten (konfigurasjonen) Konfigursjonen lastes opp i FPGA når man slår på strøm eller ved et annet tidspunkt dersom dette er ønskelig Kan ha mange alternative konfigurasjoner. Rekonfigurerbar hardware Kan tilpasses en rekke forskjelllige minnetyper (Seriell/parallell Flash) INF3430/

Kombinatorisk og synkron logikk. Kapittel 4

Kombinatorisk og synkron logikk. Kapittel 4 Kombinatorisk og synkron logikk Kapittel 4 Eksempel; FIFO First-In-First-Out Eksempelet i boka er en noe redusert fifo (mangler empty flag, full flag osv.), men har de viktigste elementene Denne FIFOen

Detaljer

INF3430 Høsten ChipScope PRO - En kort innføring

INF3430 Høsten ChipScope PRO - En kort innføring INF3430 Høsten 2008 ChipScope PRO - En kort innføring Innhold Innledning... 3 Generering av Chipscope kjerner... 4 Generering av ICON (Integrated Controller) modul... 4 Generering av ILA (Integrated Logic

Detaljer

Versjon2.0/ ChipScope PRO - En kort innføring

Versjon2.0/ ChipScope PRO - En kort innføring Versjon2.0/29.09.2013 ChipScope PRO - En kort innføring Innhold Innledning...3 Generering av Chipscope kjerner...4 Generering av ICON (Integrated Controller) modul...6 Generering av ILA (Integrated Logic

Detaljer

Synkron logikk. Sekvensiell logikk; to typer:

Synkron logikk. Sekvensiell logikk; to typer: Sekvensiell logikk De fleste digitale systemer har også minneelementer (f.eks flipflopper) i tillegg til kombinatorisk logikk, og kalles da sekvensiell logikk Output i en sekvensiell krets er avhengig

Detaljer

INF3430/4430. Grunnleggende VHDL

INF3430/4430. Grunnleggende VHDL INF3430/4430 Grunnleggende VHDL 26.09.2005 20.57 Agenda Entity/architecture Strukturelle design (netlist) Generics Configurations Operatorer-Operator presedence Datatyper Bit / IEEE1164 Std_ulogic /std_logic

Detaljer

Tilstandsmaskiner (FSM) Kapittel 5

Tilstandsmaskiner (FSM) Kapittel 5 Tilstandsmaskiner (FSM) Kapittel 5 1) Sette opp tilstandsdiagram Tradisjonell konstruksjonsmetode 2) Sette opp tilstandstabell ut fra tilstandsdiagrammet Nåværende tilstand (PS) og input Neste tilstand

Detaljer

SRAM basert FPGA INF H10 1

SRAM basert FPGA INF H10 1 SRAM basert FPGA Prinsipp: SRAM-minne inne i FPGA lagrer kretsens konfigurasjon Fordeler Kan reprogrammeres uendelig mange ganger Plass til mye logikk Kan lett endre funksjonaliteten til systemet Trenger

Detaljer

INF 3430/4430. Viktige momenter i syntese og for valg av teknologi

INF 3430/4430. Viktige momenter i syntese og for valg av teknologi INF 3430/4430 Viktige momenter i syntese og for valg av teknologi 17.10.2007 Agenda RTL syntese Constraints Pipelining Syntese for FPGA Behavorial syntese INF3430/4430 Side 2 RTL/ Behavorial syntese RTL

Detaljer

Oppgave 1 En 4-input Xilinx LUT med innhold 9009 (hex) realiserer en: A xor-xor-or B xor-xor-nand C xor-xor-nor D xor-xor-and E xor-xor-xor

Oppgave 1 En 4-input Xilinx LUT med innhold 9009 (hex) realiserer en: A xor-xor-or B xor-xor-nand C xor-xor-nor D xor-xor-and E xor-xor-xor Oppgave 1 En 4-input Xilinx LU med innhold 9009 (hex) realiserer en: Oppgave 2 PGA-teknologi A xor-xor-or B xor-xor-nand C xor-xor-nor D xor-xor-and E xor-xor-xor A orbindslinjer mellom LU er har vanligvis

Detaljer

Programmerbar logikk. CPLD og FPGA. Fys3270(4270)

Programmerbar logikk. CPLD og FPGA. Fys3270(4270) Programmerbar logikk CPLD og FPGA Agenda CPLD (Complex PLD) Arkitektur CPLD familier Timingmodeller Programmering FPGA (Field Programable Gate Array) Arkitekturer Eksempel på FPGA teknologier Antifuse

Detaljer

FYS 3270(4270) Data-assistert konstruksjon av kretselektronikk (tidligere Fys 329) Fys3270(4270)

FYS 3270(4270) Data-assistert konstruksjon av kretselektronikk (tidligere Fys 329) Fys3270(4270) FYS 3270(4270) Data-assistert konstruksjon av kretselektronikk (tidligere Fys 329) Forelesere Jørgen Norendal, Universitetslektor Fieldbus International AS Jan Kenneth Bekkeng, Stipendiat Kosmisk fysikk

Detaljer

Store design. Kapittel 6

Store design. Kapittel 6 Store design Kapittel 6 Hierarki hvorfor bruke det Dele opp designet i håndterbare designenheter. Fokusere på mindre, håndterbare enheter vil føre til færre feil og raskere debugging av feil. Verifisere

Detaljer

Det matematisk-naturvitenskapelige fakultet. INF4431 Digital systemkonstruksjon

Det matematisk-naturvitenskapelige fakultet. INF4431 Digital systemkonstruksjon Side 1 UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF4431 Digital systemkonstruksjon Eksamensdag: 7. desember 2011 Tid for eksamen: 9-13 Oppgavesettet er på 11 sider Vedlegg:

Detaljer

Entities and architectures. Kapittel 3

Entities and architectures. Kapittel 3 Entities and architectures Kapittel 3 VHDL program Én fil Entities and architectures Entity declaration og architecture body Analogi til en IC: Entiteten beskriver interfacet til omgivelsen (pakkens tilkoblingspinner)

Detaljer

INF3430. VHDL byggeblokker og testbenker

INF3430. VHDL byggeblokker og testbenker INF3430 VHDL byggeblokker og Innhold Entity/architecture Strukturelle design (nettliste) Generics Configurations Operatorer-Operator prioritet (precedence) Datatyper Bit / IEEE1164 std_ulogic /std_logic

Detaljer

INF3430/4430. Grunnleggende VHDL. 11-Sep-06

INF3430/4430. Grunnleggende VHDL. 11-Sep-06 INF3430/4430 Grunnleggende VHDL 11-Sep-06 Agenda Entity/architecture Strukturelle design (netlist) Generics Configurations Operatorer-Operator presedence Datatyper Bit / IEEE1164 Std_ulogic /std_logic

Detaljer

INF3430. Fasit eksamen Høst 2009. Oppgave 1 6. Oppgave A B C D E 1 X X 2 X 3 X X 4 X X 5 X X 6 X

INF3430. Fasit eksamen Høst 2009. Oppgave 1 6. Oppgave A B C D E 1 X X 2 X 3 X X 4 X X 5 X X 6 X INF3430. Fasit eksamen Høst 2009. Oppgave 1 6. Oppgave A B C D E 1 X X 2 X 3 X X 4 X X 5 X X 6 X INF3430 Eksamen H09 VHDL besvarelse Oppgave 7: signal_values INF3430 - H09 1 INF3430 Eksamen H09 VHDL besvarelse

Detaljer

INF3430/4431 Høsten Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter

INF3430/4431 Høsten Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter INF343/443 Høsten 2 Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter Innledning. Målene med denne laboppgaven er å lære om subprogrammer og biblioteker i VHDL

Detaljer

INF3430/4431. VHDL byggeblokker og testbenker

INF3430/4431. VHDL byggeblokker og testbenker INF3430/4431 VHDL byggeblokker og testbenker Entity/architecture Innhold Strukturelle design (nettliste) Generics Configurations Operatorer-Operator prioritet (precedence) Datatyper Bit / IEEE1164 std_ulogic

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Program for elektro- og datateknikk Kandidatnr: Eksamensdato: Lengd/eksamenstid: Emnekode: Emnenamn: Klasse: Studiepoeng: Faglerar: Forslag på svar for

Detaljer

Simulering, syntese og verifikasjon (Max kap. 19)

Simulering, syntese og verifikasjon (Max kap. 19) Simulering, syntese og verifikasjon (Max kap. 19) Innhold: Simuleringsmetoder Hendelsesbasert Cyclebasert Plassering av design i FPGA (syntese) Verifikasjon INF3430 - H11 1 Hendelsdrevet simulering 10ps

Detaljer

INF3430/4431. VHDL byggeblokker og testbenker forts.

INF3430/4431. VHDL byggeblokker og testbenker forts. INF343/4431 VHDL byggeblokker og testbenker forts. Innhold IEEE 1164 std_logic Configurations Kombinatoriske kretser forts. Concurrent(dataflow) beskrivelser Beskrivelser ved bruk av process Testbenker

Detaljer

Design med ASIC og FPGA (Max kap.7 og 18)

Design med ASIC og FPGA (Max kap.7 og 18) Design med ASIC og FPGA (Max kap.7 og 18) Innhold: Begrensninger/muligheter å ta hensyn til ved FPGA design som en normalt slipper å tenke på med ASIC design. Migrering mellom FPGA og ASIC INF3430 - H12

Detaljer

INF3430/4431 Høsten Laboppgave 4 System on Chip (SoC) konstruksjon

INF3430/4431 Høsten Laboppgave 4 System on Chip (SoC) konstruksjon INF3430/4431 Høsten 2011 Laboppgave 4 System on Chip (SoC) konstruksjon 1 Innledning. Hovedmålet med denne laboppgaven er at dere skal lære å lage et såkalt System on Chip (SoC) hvor det skal legges inn

Detaljer

Avdeling for ingeniørutdanning Institutt for teknologi

Avdeling for ingeniørutdanning Institutt for teknologi Avdeling for ingeniørutdanning Institutt for teknologi Oppgavetittel: Obligatorisk prosjektoppgave 1 Fag(nr./navn): Maskinvareutvikling DMVA-2060 Gruppemedlemmer: T. Alexander Lystad Faglærer: Zoran Dokic

Detaljer

INF3430/4431. Kretsteknologier Max. kap. 3

INF3430/4431. Kretsteknologier Max. kap. 3 INF3430/4431 Kretsteknologier Max. kap. 3 Kretsteknologier (Max. kap. 3) Programmerbar logikk kretser (PLD): Simple Programmable Logic Device (SPLD) Complex Programmable Logic Devices (CPLD) Field Programmable

Detaljer

INF3430/4430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430/4430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430/4430 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer 19.09.2006 Agenda Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard

Detaljer

Vi anbefaler at du setter deg litt inn i maskinen på forhånd. Det er en DELL Optiplex 620.

Vi anbefaler at du setter deg litt inn i maskinen på forhånd. Det er en DELL Optiplex 620. Oppgave lab Vi anbefaler at du setter deg litt inn i maskinen på forhånd. Det er en DELL Optiplex 620. Søk etter denne maskinen på nettet. Alle oppgavene skal dokumenteres på din studieweb med tekst og

Detaljer

INF3430/4430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430/4430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430/4430 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer 2005-10-26 Agenda Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard

Detaljer

INF3430/4430 Høsten Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE

INF3430/4430 Høsten Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE INF3430/4430 Høsten 2005 Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE 1 Designflyt og verktøy...3 1.1 Designflyt for programmerbar logikk... 4 1.2 Verktøy benyttet i kurset... 6 2 Hvordan komme

Detaljer

Agenda Funksjoner og prosedyrer. Funksjoner

Agenda Funksjoner og prosedyrer. Funksjoner Aga Funksjoner og prosedyrer Funksjoner Operatorer Standard funksjoner/operatorer Overloading Package og Package body Operator inferencing Prosedyrer Side 1 Funksjoner(1) Benyttes mye i modeller for simulering

Detaljer

INF3430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer Innhold Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard biblioteker

Detaljer

Avanserte byggeblokker (Maxfield kap.13 og 17)

Avanserte byggeblokker (Maxfield kap.13 og 17) Avanserte byggeblokker (Maxfield kap.13 og 17) Innhold: Kap 13: Embedded prosessorer (prosessorkjerner) Kap 17: Virtuelle komponenter (Intellectual Properties - IPs) INF3430 - H11 1 Organisering av kretskort

Detaljer

INF 3430/4430. Simuleringsmetodikk

INF 3430/4430. Simuleringsmetodikk INF 3430/4430 Simuleringsmetodikk 02.11.2005 Agenda Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Verifikasjon av syntetisert/plassert design mot RTL-kode Fil-operasjoner

Detaljer

2. Hvor mye Internminne har den? Svar: 2GB

2. Hvor mye Internminne har den? Svar: 2GB Del 1 Setup - BIOS I setup skal dere finne ut: 1. Hva slags CPU har maskinen? Beskriv de tekniske egenskapene ved CPU en. Intel Pentium D Processor clock speed: 3GHz Processor bus speed: 800 MHz Processor

Detaljer

Høgskolen i Sør-Trøndelag Avdeling for teknologi

Høgskolen i Sør-Trøndelag Avdeling for teknologi Høgskolen i Sør-Trøndelag Avdeling for teknologi Eksamensdato: 3. desember 2010 Program for elektro- og datateknikk Varighet: Emnekode: Emnenavn: 5 timer EDT304T Digital Systemkonstruksjon Studiepoeng:

Detaljer

INF3430/4430. Kombinatoriske og sekvensielle byggeblokker implementert i VHDL :57

INF3430/4430. Kombinatoriske og sekvensielle byggeblokker implementert i VHDL :57 INF3430/4430 Kombinatoriske og sekvensielle byggeblokker implementert i VHDL 26.09.2005 20:57 Agenda Kombinatoriske kretser forts. Concurrent(dataflow) beskrivelser Beskrivelser ved bruk av process Testbenker

Detaljer

Clock speed 3.20GHz Bus Speed 800MHz L2 Cache 4MB 2 Cores Ikke Hyperthreading 64 BIT

Clock speed 3.20GHz Bus Speed 800MHz L2 Cache 4MB 2 Cores Ikke Hyperthreading 64 BIT 1. Hva slags CPU har maskinen? Beskriv de tekniske egenskapene ved CPU en. Clock speed 3.20GHz Bus Speed 800MHz L2 Cache 4MB 2 Cores Ikke Hyperthreading 64 BIT 2. Hvor mye Internminne har den? 3. Hvor

Detaljer

TELE2010A Digital Systemkonstruksjon

TELE2010A Digital Systemkonstruksjon TELE2010A Digital Systemkonstruksjon Øving 3/2015 Del 1, Teller: Husk å arbeide i det lokale arbeidsområdet på disken. Kopier filene til serveren når dere er ferdig for å kunne bruke dem neste gang. max_tall

Detaljer

INF3430/4431. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430/4431. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430/4431 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer Innhold Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard biblioteker

Detaljer

INF3430/4431. VHDL byggeblokker og testbenker forts.

INF3430/4431. VHDL byggeblokker og testbenker forts. INF3430/4431 VHDL byggeblokker og testbenker forts. Innhold Kombinatoriske kretser forts. Concurrent(dataflow) beskrivelser Beskrivelser ved bruk av process Testbenker for kombinatoriske kretser Stimuli

Detaljer

INF3430/4430 Høsten Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE

INF3430/4430 Høsten Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE INF3430/4430 Høsten 2006 Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE 1 Designflyt og verktøy...4 1.1 Designflyt for programmerbar logikk... 5 1.1.1.1 Design entry... 5 1.1.1.2 Register Transfer

Detaljer

INF3430/4430 Høsten Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE

INF3430/4430 Høsten Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE INF3430/4430 Høsten 2007 Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE 1 Designflyt og verktøy... 4 1.1 Designflyt for programmerbar logikk... 5 1.1.1.1 Design entry... 5 1.1.1.2 Register Transfer

Detaljer

INF3430. VHDL byggeblokker og testbenker forts.

INF3430. VHDL byggeblokker og testbenker forts. INF343 VHDL byggeblokker og testbenker forts. Innhold Kombinatoriske kretser forts. Concurrent(dataflow) beskrivelser Beskrivelser ved bruk av process Testbenker for kombinatoriske kretser Stimuli Sammenligning

Detaljer

F4 IN HDL. Yngve Hafting,

F4 IN HDL. Yngve Hafting, F4 IN2060 2018 HDL Yngve Hafting, yngveha@ifi.uio.no Formål Kort om emnet Emnet tar for seg prinsipper i digital design, som kombinatorisk og sekvensiell logikk, tilstandsmaskiner og digitale byggeblokker,

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO Eksamen i: UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet INF1400 Digital teknologi Eksamensdag: 29. november 2011 Tid for eksamen: Vedlegg: Tillatte hjelpemidler: Oppgavesettet er på

Detaljer

INF 3430/4431. Simuleringsmetodikk

INF 3430/4431. Simuleringsmetodikk INF 3430/4431 Simuleringsmetodikk Innhold Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Fil-operasjoner Eksempel på SRAM modell og simulering av lesing fra denne INF3430/4431

Detaljer

Digital logic level: Oppsummering

Digital logic level: Oppsummering 1 Digital logic level: Oppsummering 2 Nivå 0: Digtalekretsar Ai Bi Ci-1 Fundamentale komponentar AND, OR, NOT,NAND, NOR XOR porter D-vipper for lagring av ett bit Samansette komponentar Aritmetiske kretsar

Detaljer

INF2270. Datamaskin Arkitektur

INF2270. Datamaskin Arkitektur INF2270 Datamaskin Arkitektur Hovedpunkter Von Neumann Arkitektur ALU Minne SRAM DRAM RAM Terminologi RAM Signaler Register Register overføringsspråk Von Neumann Arkitektur John von Neumann publiserte

Detaljer

CURRICULUM. INF fall User: joakimmj alekspo. Author: JOAKIM MYRVOLL ALEKSANDER POLLEN. Due:

CURRICULUM. INF fall User: joakimmj alekspo. Author: JOAKIM MYRVOLL ALEKSANDER POLLEN. Due: CURRICULUM INF3430 - fall 2013 Author: JOAKIM MYRVOLL ALEKSANDER POLLEN User: joakimmj alekspo Due: 09.12.13 Aleksander Pollen INF3430 : Curriculum part: Contents I VHDL 3 1 Logical Syntax 3 1.1 Logical

Detaljer

Del1: Setup: BIOS. 2. Hvor mye Internminne har den? 3GB DDR2

Del1: Setup: BIOS. 2. Hvor mye Internminne har den? 3GB DDR2 Del1: Setup: BIOS 1. Hva slags CPU har maskinen? Beskriv de tekniske egenskapene ved CPU en. CPUen er en Intel Pentium D, og har følgende tekniske egenskaper: Clock-speed = 3GHz Bus-speed = 800MHz ID =

Detaljer

Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE

Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE 1 Designflyt og verktøy...4 1.1 Designflyt for programmerbar logikk... 5 1.1.1.1 Design entry... 5 1.1.1.2 Register Transfer Level (RTL)-simulering...

Detaljer

INF3430/4431. Viktige momenter i syntese og for valg av teknologi Chipscope

INF3430/4431. Viktige momenter i syntese og for valg av teknologi Chipscope INF3430/4431 Viktige momenter i syntese og for valg av teknologi Chipscope Agenda RTL syntese Constraints Pipelining Syntese for FPGA Chipscope INF3430/4431 2 RTL/ Behavorial syntese RTL (Register Transfer

Detaljer

INF 3430/4430. Simuleringsmetodikk

INF 3430/4430. Simuleringsmetodikk INF 3430/4430 Simuleringsmetodikk Innhold Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Fil-operasjoner Eksempel på SRAM modell og simulering av lesing fra denne INF3430 Side

Detaljer

Phu Pham Laboppgave 29. September 2015

Phu Pham Laboppgave 29. September 2015 Del 1 Setup BIOS Setup programmet brukes til å endre konfigurasjonen av BIOS og til å vise resultatene fra oppstartsprogrammet i BIOS. Vi kan bruke Setup programmet til å kontrollere at maskinen kan identifisere

Detaljer

INF3430. Kretsteknologier Programmeringsteknologier VHDL-Access datatyper

INF3430. Kretsteknologier Programmeringsteknologier VHDL-Access datatyper INF3430 Kretsteknologier Programmeringsteknologier VHDL-Access datatyper l l l Programmable Read Only Memory a b c Predefined link Programmable link a b c Predefined link Programmable link Address 0 Address

Detaljer

Del 2. Bak skallet. Avsette minne til et spesifikt OS Teste harddisk under oppstart Sette opp system logger

Del 2. Bak skallet. Avsette minne til et spesifikt OS Teste harddisk under oppstart Sette opp system logger Del 1 Setup - BIOS Setup programmet brukes til å endre konfigurasjonen av BIOS og til å vise resultatene fra oppstartsprogrammet i BIOS. Vi kan bruke Setup programmet til å kontrollere at maskinen kan

Detaljer

Appendiks 7 Konstanter og variabler til kap. 6

Appendiks 7 Konstanter og variabler til kap. 6 APPENDIKS APPENDIKS 1 Appendiks 1.1 Appendiks 1.1.1 Appendiks 1.1.2 Appendiks 1.1.3 Appendiks 1.1.4 Appendiks 1.2 Appendiks 1.2.1 Appendiks 1.2.2 Appendiks 1.2.3 Appendiks 1.2.4 Appendiks 2 Appendiks 2.1

Detaljer

INF2270. Datamaskin Arkitektur

INF2270. Datamaskin Arkitektur INF2270 Datamaskin Arkitektur Hovedpunkter Von Neumann Arkitektur ALU Minne SRAM DRAM RAM Terminologi RAM Signaler Register Register overføringsspråk Von Neumann Arkitektur John von Neumann publiserte

Detaljer

DM6814/DM5814 User s Manual

DM6814/DM5814 User s Manual (Real Time Devices) Table 1-1 Factory Settings Switch/ Jumper Function Controlled Factory Settings (Jumpers Installed) P4 Connects a P14 jumper selectable interrupt source to an interrupt

Detaljer

INF 3430/4430. UNISIM, SIMPRIM og Vital-bibliotekene Xilinx Corelib Test og design for testbarhet

INF 3430/4430. UNISIM, SIMPRIM og Vital-bibliotekene Xilinx Corelib Test og design for testbarhet INF 3430/4430 UNISIM, SIMPRIM og Vital-bibliotekene Xilinx Corelib Test og design for testbarhet 14.11.2005 Agenda Xilinx UNISIM biblioteker Xilinx SIMPRIMS Xilinx Corelibs Vital-VHDL initiative towards

Detaljer

INF1400 Kap4rest Kombinatorisk Logikk

INF1400 Kap4rest Kombinatorisk Logikk INF4 Kap4rest Kombinatorisk Logikk Hovedpunkter Komparator Dekoder/enkoder MUX/DEMUX Kombinert adder/subtraktor ALU FIFO Stack En minimal RISC - CPU Komparator Komparator sammenligner to tall A og B 3

Detaljer

Datamaskinens oppbygning og virkemåte

Datamaskinens oppbygning og virkemåte Datamaskinens oppbygning og virkemåte Laboppgave Sasa Bakija, 08DAT Del 1: Setup BIOS 1. DELL Optiplex GX270 har en Intel Pentium 4 CPU med buss speed på 800 Mhz og klokkefrekvens på 2.80 Ghz. 2. Internminne

Detaljer

Gruppe(r): 2EY 30.05.02. Eksamenstid, fra-til: 09 00-14 00 Eksamensoppgaven består av. Antall sider: 4 (Inkludert denne)

Gruppe(r): 2EY 30.05.02. Eksamenstid, fra-til: 09 00-14 00 Eksamensoppgaven består av. Antall sider: 4 (Inkludert denne) HØGSKOLEN I OSLO Avdeling for ingeniørutdanning EKSAMENSOPPGAVE Fag: ELEKTRONIKK II Fagnr: SO313E Faglig veileder: K. H. Nygård, V. Tyssø Gruppe(r): 2EY Dato: 30.05.02 Eksamenstid, fra-til: 09 00-14 00

Detaljer

Internminnet. Håkon Tolsby Håkon Tolsby

Internminnet. Håkon Tolsby Håkon Tolsby Internminnet Håkon Tolsby 26.09.2017 Håkon Tolsby 1 Innhold: Internminnet RAM DRAM - SDRAM - DDR (2, 3, 4, 5) ROM Cache-minne 26.09.2017 Håkon Tolsby 2 Internminnet Minnebrikkene som finnes på hovedkortet.

Detaljer

LabOppgave. 1. Hva slags CPU har maskinen? Beskriv de tekniske egenskapene ved CPU en.

LabOppgave. 1. Hva slags CPU har maskinen? Beskriv de tekniske egenskapene ved CPU en. LabOppgave Del 1 Setup - BIOS: 1. Hva slags CPU har maskinen? Beskriv de tekniske egenskapene ved CPU en. Svar: Intel (R) pentium D CPU 3,20Ghz Clock speed: 3,20 Ghz Bus speed: 800 Mhz L2 Cache. 4 MB ID:

Detaljer

INF1400 Kap 0 Digitalteknikk

INF1400 Kap 0 Digitalteknikk INF1400 Kap 0 Digitalteknikk Binære tall (ord): Digitale signaler: Hva betyr digital? Tall som kun er representert ved symbolene 0 og 1 (bit s). Nøyaktighet gitt av antall bit. (avrundingsfeil) Sekvenser

Detaljer

INF 3430/4430. UNISIM, SIMPRIM og Vital-bibliotekene Xilinx Corelib Test og design for testbarhet

INF 3430/4430. UNISIM, SIMPRIM og Vital-bibliotekene Xilinx Corelib Test og design for testbarhet INF 3430/4430 UNISIM, SIMPRIM og Vital-bibliotekene Xilinx Corelib Test og design for testbarhet 04.11.2007 Agenda Xilinx UNISIM biblioteker Xilinx SIMPRIMS Xilinx Corelibs Vital-VHDL initiative towards

Detaljer

INF1400 Kap 1. Digital representasjon og digitale porter

INF1400 Kap 1. Digital representasjon og digitale porter INF4 Kap Digital representasjon og digitale porter Hovedpunkter Desimale / binære tall Digital hardware-representasjon Binær koding av bokstaver og lyd Boolsk algebra Digitale byggeblokker / sannhetstabell

Detaljer

F5 IN Digitale byggeblokker. Yngve Hafting,

F5 IN Digitale byggeblokker. Yngve Hafting, F5 IN2060 2018 Digitale byggeblokker Yngve Hafting, yngveha@ifi.uio.no Kort om emnet Formål Emnet tar for seg prinsipper i digital design, som kombinatorisk og sekvensiell logikk, tilstandsmaskiner og

Detaljer

Designflyt Utviklingsverktøyene Questa(Modelsim) og Xilinx ISE. Versjon 1.5/

Designflyt Utviklingsverktøyene Questa(Modelsim) og Xilinx ISE. Versjon 1.5/ Designflyt Utviklingsverktøyene Questa(Modelsim) og Xilinx ISE Versjon 1.5/19.08.2015 Designflyt. Utviklingsverktøyene Modelsim og Xilinx ISE 1 Designflyt og verktøy...4 1.1 Designflyt for programmerbar

Detaljer

Fys 3270/4270 høsten Laboppgave 2: Grunnleggende VHDL programmering. Styring av testkortets IO enheter.

Fys 3270/4270 høsten Laboppgave 2: Grunnleggende VHDL programmering. Styring av testkortets IO enheter. Fys 3270/4270 høsten 2004 Laboppgave 2: Grunnleggende VHDL programmering. Styring av testkortets IO enheter. Innledning. Målet med denne laboppgaven er at dere skal lære å lage enkle hardware beskrivelser

Detaljer

INF Test og design for testbarhet

INF Test og design for testbarhet INF 3430 Test og design for testbarhet Innhold Verifikasjon og testing Design for testbarhet Ad hoc forbedringer Strukturelt design for test Built-in self test Boundary scan (IEEE1149.1) INF3430 Side 2

Detaljer

INF3340/4340. Synkrone design Tilstandsmaskiner

INF3340/4340. Synkrone design Tilstandsmaskiner INF3340/4340 Synkrone design Tilstandsmaskiner 18.09.2007 Agenda Tilstandsmaskiner Mealy og Moore maskiner ASM tilstandsdiagrammer Syntese av ASM diagrammer Tilstandskoding Implementasjon ved bruk av VHDL

Detaljer

TDT4160 Datamaskiner Grunnkurs 2011. Gunnar Tufte

TDT4160 Datamaskiner Grunnkurs 2011. Gunnar Tufte 1 TDT4160 Datamaskiner Grunnkurs 2011 Gunnar Tufte 2 Kapittel 3: Digital logic level 3 Nivå 0: Digtalekretsar Fundamentale komponentar AND, OR, NOT,NAND, NOR XOR porter D-vipper for lagring av ett bit

Detaljer

Kretsteknologier (Max. kap. 3) Kretsteknologier. Kretsteknologier. Følgende gjelder for alle figurer hentet fra læreboka. Max. kap.

Kretsteknologier (Max. kap. 3) Kretsteknologier. Kretsteknologier. Følgende gjelder for alle figurer hentet fra læreboka. Max. kap. Kretsteknoogier (Max. kap. 3) Kretsteknoogier Max. kap. 3 Programmerbar ogikk kretser (PLD): Simpe Programmabe Logic Device (SPLD) Compex Programmabe Logic Devices (CPLD) Fied Programmabe Gate Array (FPGA)

Detaljer

Organisering og ledelse av hardware-utvikling

Organisering og ledelse av hardware-utvikling Organisering og ledelse av hardware-utvikling INF5700 Organisering og ledelse av tekniske prosjekter, 2010.10.15 Snorre Aunet, sa@ifi.uio.no Dept. of Informatics, Nanoelectronics group, University of Oslo

Detaljer

WORLD CLASS through people, technology and dedication WORLD CLASS through people, technology and dedication

WORLD CLASS through people, technology and dedication WORLD CLASS through people, technology and dedication WORLD CLASS through people, technology and dedication WORLD CLASS through people, technology and dedication FPGA/ASIC koderegler og designmetodikk i KDA Hvordan en FPGA designer kan være minst mulig på

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO Side 1 UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1400 Eksamensdag: Fredag 3. desember Tid for eksamen: kl. 14:30-18:30 (4 timer). Oppgavesettet er på side(r) 7 sider

Detaljer

Dagens temaer. Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture. Sekvensiell logikk. Flip-flop er

Dagens temaer. Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture. Sekvensiell logikk. Flip-flop er Dagens temaer Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture Sekvensiell logikk Flip-flop er Design av sekvensielle kretser Tilstandsdiagram Tellere og registre INF2270 1/19

Detaljer

En mengde andre typer som DVD, CD, FPGA, Flash, (E)PROM etc. (Kommer. Hukommelse finnes i mange varianter avhengig av hva de skal brukes til:

En mengde andre typer som DVD, CD, FPGA, Flash, (E)PROM etc. (Kommer. Hukommelse finnes i mange varianter avhengig av hva de skal brukes til: 2 Dagens temaer Dagens 4 Sekvensiell temaer hentes fra kapittel 3 i Computer Organisation and Architecture Design Flip-flop er av sekvensielle kretser Tellere Tilstandsdiagram og registre Sekvensiell Hvis

Detaljer

Internminnet. Håkon Tolsby. 22.09.2014 Håkon Tolsby

Internminnet. Håkon Tolsby. 22.09.2014 Håkon Tolsby Internminnet Håkon Tolsby 22.09.2014 Håkon Tolsby 1 Innhold: Internminnet RAM DRAM - SDRAM - DDR (2og3) ROM Cache-minne 22.09.2014 Håkon Tolsby 2 Internminnet Minnebrikkene som finnes på hovedkortet. Vi

Detaljer

Laboppgave. Sondre Gulichsen, Li Lisan Linder. 1. Hva slags CPU har maskinen? Beskriv de tekniske egenskapene ved CPU en.

Laboppgave. Sondre Gulichsen, Li Lisan Linder. 1. Hva slags CPU har maskinen? Beskriv de tekniske egenskapene ved CPU en. Laboppgave Sondre Gulichsen, Li Lisan Linder 1. Hva slags CPU har maskinen? Beskriv de tekniske egenskapene ved CPU en. Maskinen har en Intel(R) Pentium(R) D CPU 3,20GHz. Den har en Bus hastighet på 800MHz,

Detaljer

VHDL En kjapp introduksjon VHDL. Oversikt. VHDL versus C(++)/Java

VHDL En kjapp introduksjon VHDL. Oversikt. VHDL versus C(++)/Java Oversikt VHDL En kjapp introduksjon Definisjoner Designparadigmer Generell VHDL-struktur Dataflow -beskrivelse Structural -beskrivelse Behaviour -beskrivelse Objekter /datatyper Operatorer Tips for syntese

Detaljer

SIE 4005, 8/10 (3. Forelesn.)

SIE 4005, 8/10 (3. Forelesn.) SIE 4005, 8/10 (3. Forelesn.) Andre forelesning: litt repetisjon 7.7 Arithmetic / Logic unit 7.8 The Shifter 7.9 Datapath representation 7.10 The control word 7.11 Pipelined datapath Tredje forelesning:

Detaljer

Beskrivelse av styresystem for aktiv likeretter. Versjon 1.0.

Beskrivelse av styresystem for aktiv likeretter. Versjon 1.0. TR F5940 Beskrivelse av styresystem for aktiv likeretter. Versjon 1.0. Kjell Ljøkelsøy Februar 2004 SAK/OPPGAVE (tittel) TEKNISK RAPPORT SINTEF Energiforskning AS Postadresse: 7465 Trondheim Resepsjon:

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO Side 1 av 4 UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF3430/INF4430 Digital systemkonstruksjon Eksamensdag: 30. november 2005 Tid for eksamen: 9-12 Oppgavesettet er

Detaljer

Meir buss, I/O, prosessor detaljar. Arbitrering: Kven kontrollerar bussen Buss eksempel PIO Prosessorar

Meir buss, I/O, prosessor detaljar. Arbitrering: Kven kontrollerar bussen Buss eksempel PIO Prosessorar 1 Meir buss, I/O, prosessor detaljar Arbitrering: Kven kontrollerar bussen Buss eksempel PIO Prosessorar 2 Arbitrering: To typar Sentralisert arbitrering Eigen sentral arbitreringseining Bestemt sentralt

Detaljer

Design med ASIC og FPGA (Max kap.7 og 18)

Design med ASIC og FPGA (Max kap.7 og 18) Design med ASIC og FPGA (Max kap.7 og 18) Innhold: Begrensninger/muligheter å ta hensyn til ved FPGA design som en normalt slipper å tenke på med ASIC design. Migrering mellom FPGA og ASIC INF3430 - H10

Detaljer

Prøveeksamen 2. Elektronikk 24. mars Løsningsforslag

Prøveeksamen 2. Elektronikk 24. mars Løsningsforslag Prøveeksamen Elektronikk 4. mars øsningsforslag OPPGAVE a) V SB 8 V/ 8 8 V/56 3,5 mv. b) xc 9 Utgangsspenning V o (9/56) 8 V 6 V. c) Utgangsspenning V o skal være lik for påtrykk x. Offset-feilen i SB

Detaljer

SUPER DISCLAIMER. Vi endrer opplegget litt fra år til år, og vi hører på dere!

SUPER DISCLAIMER. Vi endrer opplegget litt fra år til år, og vi hører på dere! ARDUINO BASISKUNNSKAP ELEKTRISITET SIKKERHET PRAKSIS INSTALLASJON PROGRAMMERING GRUNNLEGGENDE TEORI ÅPEN SONE FOR EKSPERIMENTELL INFORMATIKK STUDIELABEN Roger Antonsen INF1510 23. januar 2012 SUPER DISCLAIMER

Detaljer

LABOPPGAVER GRIT: IT OG SAMFUNN. Del 1 Setup - BIOS

LABOPPGAVER GRIT: IT OG SAMFUNN. Del 1 Setup - BIOS LABOPPGAVER GRIT: IT OG SAMFUNN Del 1 Setup - BIOS Setup programmet brukes til å endre konfigurasjonen av BIOS og til å vise resultatene fra oppstartsprogrammet i BIOS. Vi kan bruke Setup programmet til

Detaljer

Del 1 Setup - BIOS Oppgaver: 1. Hva slags CPU har maskinen? Beskriv de tekniske egenskapene ved CPU en.

Del 1 Setup - BIOS Oppgaver: 1. Hva slags CPU har maskinen? Beskriv de tekniske egenskapene ved CPU en. Laboppgaver, GrIT - gruppe 9. Del 1 Setup - BIOS Oppgaver: 1. Hva slags CPU har maskinen? Beskriv de tekniske egenskapene ved CPU en. Intel(R) Pentium(R) D CPU 3.00GHz Den har en dual core ( som betyr

Detaljer

1 Innledning. Oppgaven består i å konstruere et digitalt simultanoversettelsessystem.

1 Innledning. Oppgaven består i å konstruere et digitalt simultanoversettelsessystem. Datamaskiner Prosjekt Innledning 1 Innledning Oppgaven består i å konstruere et digitalt simultanoversettelsessystem. Systemet som beskrives her er et enklere system enn det en kanskje kunne ønsket slik

Detaljer

TDT4110 IT Grunnkurs Høst 2015

TDT4110 IT Grunnkurs Høst 2015 TDT4110 IT Grunnkurs Høst 2015 Norges teknisk naturvitenskapelige universitet Institutt for datateknikk og informasjonsvitenskap Løsningsforlag Auditorieøving 1 1 Teori Løsning er skrevet med uthevet tekst

Detaljer

Prøveeksamen 2. Elektronikk 24. mars 2010

Prøveeksamen 2. Elektronikk 24. mars 2010 Prøveeksame 2 Elektroikk 24. mars 21 OPPGAVE 1 E 8 bit D/A-omformer har et utspeigsområde fra til 8 V V 1LSB, der V 1LSB er de aaloge speige som svarer til det mist sigifikate bit (LSB). a) Hvor stor er

Detaljer

Dagens temaer. temaer hentes fra kapittel 3 i Computer Organisation. av sekvensielle kretser. and Architecture. Tilstandsdiagram.

Dagens temaer. temaer hentes fra kapittel 3 i Computer Organisation. av sekvensielle kretser. and Architecture. Tilstandsdiagram. Dagens temaer 1 Dagens Sekvensiell temaer hentes fra kapittel 3 i Computer Organisation and Architecture logikk Flip-flop er Design av sekvensielle kretser Tilstandsdiagram Tellere og registre Sekvensiell

Detaljer