HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

Størrelse: px
Begynne med side:

Download "HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi"

Transkript

1 HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Målform: Bokmål Eksamensdato: 4. desember 2013 Varighet/eksamenstid: Emnekode: Emnenavn: Klasse(r): 5 timer EDT304T 3EE Studiepoeng: 10 Faglærer(e): (navn og telefonnr på eksamensdagen) Bjørn B. Larsen, Kontaktperson(adm.) (fylles ut ved behov kun ved kursemner) Hjelpemidler: Oppgavesettet består av: (antall oppgaver og antall sider inkl. forside) Kalkulator type C 4 oppgaver på 15 sider Vedlegg består av: (antall sider) Merknad: Oppgaveteksten kan beholdes av studenter som sitter eksamenstiden ut. NB! Les gjennom hele oppgavesettet før du begynner arbeidet, og disponer tiden. Dersom noe virker uklart i oppgavesettet, skal du gjøre dine egne antagelser og forklare dette i besvarelsen. Lykke til!

2 Eksamen i emne EDT304T 4. desember 2013 Side 2 av 15 Oppgave 1 (50 %) Dette er en flervalgsoppgave: For hvert spørsmål skal du krysse av for det alternativet som du mener er riktig. Dersom du velger å ikke svare krysser du av for "VET IKKE." Riktig svar gir 2 poeng, galt svar gir -1 poeng "VET IKKE" gir 0 poeng. Ubesvart spørsmål gir -1 poeng. Gardering er tillatt. Bruk tabellen på siste side. Riv den ut fra oppgavesettet og lever den som en del av besvarelsen din. NB! Der er tre tabeller: En til faglærer, en til sensor og en til deg selv. Dersom det er avvik mellom innholdet i tabellene, er det faglærerkopien som anses som ønsket avgitt svar. Spørsmålene om VHDL-kode angår bare den koden som er vist. Det forutsettes at nødvendige typer og signal/variable er riktig definert. 1. Hvilken påstand er korrekt? A) En tracking AD-konverter trenger ikke et utgangsregister for å holde verdien mellom hver konvertering. B) En suksessiv approksimasjons AD-omformer leverer utgangssignalet i seriell form. C) En sub-ranging flash AD-omformer har halvparten så mange komparatorer som en ordinær flash AD-omformer D) Vet ikke 2. Hva er riktig for et signal i VHDL? A) Et signal brukes for å sende en verdi fra en prosess til en annen prosess. B) Et signal kan bare tilordnes en verdi fra andre signal. C) Et signal får ny verdi en delta etter siste endring i tidskøen. D) Vet ikke 3. Hva er riktig for simulering av et signal i VHDL? A) Et signal beregnes hver gang de variablene det er avhengig av skifter verdi. B) Dersom et signal får flere forskjellige verdier tilordnet på ett tidspunkt vil simulatoren velge den siste tilordningen som ny verdi. C) Dersom et signal får flere forskjellige verdier tilordnet på ett tidspunkt vil simulatoren velge den første tilordningen som ny verdi.

3 Eksamen i emne EDT304T 4. desember 2013 Side 3 av Hva er riktig for syntese av et signal i VHDL? A) Synteseverktøyet vil bytte om definisjonsrekkefølgen, slik at signalverdien blir riktig, dersom et signal brukes før variablene det er avhengig av er tilordnet verdi. B) Dersom et signal får flere forskjellige verdier tilordnet på ett tidspunkt vil synteseverktøyet sørge for at den siste tilordningen kommer gjennom. C) Dersom et signal får flere forskjellige verdier tilordnet på ett tidspunkt vil synteseverktøyet sørge for at den første tilordningen kommer gjennom. 5. Hva er riktig for syntese av et signal i VHDL? A) Dersom et signal ikke er komplett definert på alle tilstandene vil synteseverktøyet fjerne signalet. B) Dersom et signal ikke er komplett definert på alle tilstandene vil synteseverktøyet fjerne porten som skulle drevet signalet. C) Dersom et signal ikke er komplett definert på alle tilstandene vil synteseverktøyet sette inn en latch som husker signalverdien. 6. Hva modellerer denne VHDL-koden? A) En trebits teller. B) Ubetinget tilstandsskifting. C) En tredeler. oppg_1_6: process (clk) is if rising_edge(clk) then case (q) is when "000" => q <= "100"; when "001" => q <= "000"; when "010" => q <= "001"; when "100" => q <= "010"; when others => q <= "000"; end case; end process; 7. Hva modellerer denne VHDL-koden? A) Et sirkulært skiftregister. B) Dette virker ikke slik som det ser ut til å virke, fordi lederne kortsluttes parvis. C) Bytte av signalrekkefølge i et signal. oppg_1_7: process (clk) is if rising_edge(clk) then q(msb downto 0) <= q(0 to msb); end process; 8. Hva er riktig for en variable i VHDL? A) En variable benyttes for mellomregninger. B) En global variable brukes for å gjøre interne verdier i en funksjon eller en prosess tilgjengelig for andre prosesser når den har samme navn i begge prosessene. C) En variable kan tilordnes en tidskø og oppføre seg som et signal ved behov.

4 Eksamen i emne EDT304T 4. desember 2013 Side 4 av Hva gjør denne koden? A) Beregner s_41 = s_ B) Beregner s_41 = forrige verdi av s_ C) Ingen ting. oppg_1_9: process (s_42) is variable v_9: integer range 0 to 127; -- s_41 <= v_9; -- v_9 := s_ ; -- end process; 10. Du skal lage et register. Registeret har en 8-bits parallell inngang inn_p. Registeret skal fylles med inn_p når kontrollsignalet shift er '0'. Registeret skifter aritmetisk når shift er '1'. Registeret trigger på positiv klokkeflanke. Hvilken kode realiserer dette? A) Oppg_1_10_A: process (clk) if rising_edge(clk) then if shift = 0 then q <= inn_p; else q <= 0 & q(7 downto 1)); end process Oppg_1_10_A; B) Oppg_1_10_B: process (clk) if rising_edge(clk) then if shift = 0 then q <= inn_p; else q <= q(0) & q(7 downto 1); end process Oppg_1_10_B; C) Oppg_1_10_C: process (clk) if rising_edge(clk) then if shift = 0 then q <= inn_p; else q <= q(7) & q(7 downto 1); end process Oppg_1_10_C;

5 Eksamen i emne EDT304T 4. desember 2013 Side 5 av Hva sier D-algoritmen for testgenerering? A) Feil på D-veien må forplantes til en utgang eller et register for å bli detektert. B) D-veien gjennom en sekvensiell krets må gå gjennom et register. C) Feil på D-veien samles i signaturregisteret og skiftes ut derfra. 12. Du skal lage en D-vippe med asynkron SET og RESET, som er aktiv høy ('1'). RESET har prioritet foran SET. Vippen trigger på negativ klokkeflanke. Hvilken kode realiserer dette? A) Oppg_1_12_A: PROCESS (a, b, c) BEGIN IF a = '1' THEN q <= '0'; ELSIF b = '1' THEN q <= '1'; ELSIF falling_edge(c) THEN q <= d; END IF; END PROCESS Oppg_1_12_A; B) Oppg_1_12_B: PROCESS (a, b, c) BEGIN IF a = '1' THEN q <= '1'; ELSIF b = '1' THEN q <= '0'; ELSIF falling_edge(c) THEN q <= d; END IF; END PROCESS Oppg_1_12_B; C) Oppg_1_12_C: PROCESS (a, b, c) BEGIN IF falling_edge(c) THEN IF a = '1' THEN q <= '0'; ELSIF b = '1' THEN q <= '1'; ELSE q <= d; END IF; END IF; END PROCESS Oppg_1_12_C;

6 Eksamen i emne EDT304T 4. desember 2013 Side 6 av Anta at en port inneholder transistorfeil som gjør at en av transistorene alltid er PÅ. Hva vet du om slike feil? A) Feilen kan detekteres ved å måle tomgangsstrømmen i kretsen for enkelte testvektorer. B) Denne feilen må avsløres med to testvektorer i en bestemt sekvens. C) Denne feilen er utestbar. 14. Hvilken påstand er riktig? A) En krets med redundans behøver ikke å bli testet fordi den alltid gir rett svar. B) En krets med redundans gir ofte feil svar ut. C) En krets med redundans kan forenkles. 15. Hva er det minste antallet testvektorer som må til for å få en komplett test av en AND-port med N innganger? A) N B) N + 1 C) 2 N 16. Hva er maksimalt antall forskjellige testvektorer fra en N-bits LFSR (Pseudo random generator)? A) 2 N - 1 B) 2 N C) 2 N Hvilken påstand er feil? A) En signaturtest kan maskere multiple feil. B) En signaturtest kan vanligvis ikke finne mer enn 50 % av feilene i en krets. C) En signaturtest kan forbedres ved å skifte inn en ny startverdi underveis. D) Vet ikke 18. Hvilken påstand er feil for et filter som står i en signalvei og skal operere i sann tid? A) Et filter i en FPGA kan brukes for høyere samplingsfrekvenser enn et som er implementert i en Digital Signal-Prosessor (DSP). B) Et filter i en FPGA kan gjøres likt et filter som er implementert i en DSP. C) Et digitalt filter i en FPGA kan ha loddrette flanker. D) Vet ikke

7 Eksamen i emne EDT304T 4. desember 2013 Side 7 av 15 Oppgave 2 (25 %) Figuren under viser den CPUen som er gjennomgått i forelesningene. Som i fjor er den utvidet med en Multiply-And-Accumulate-enhet (MAC). Dette er en funksjon som benyttes mye ved signalbehandling, og prosessoren vår kan nå betraktes som en enkel Digital Signal- Prosessor (DSP). Oppgaven er ikke lik oppgaven i fjor. MAC-registeret har dobbelt så mange bit som sysbus. Alle operasjoner som involverer MACregisteret skjer i to trinn. Først LSB (Least Significant Byte), så MSB (Most Significant Byte). MULT_CONST-registeret har samme antall bit som sysbus. Ny del: sysbus MAC MULT_ CONST Den nye enheten skal kunne utføre følgende funksjoner: Den skal kunne kopiere tallet på sysbus til MAC-registeret. Dette skjer med op-code l_mac, og skjer i to operasjoner. Den skal kunne kopiere tallet på sysbus til MULT_CONST-registeret. Dette skjer med op-code l_const. Den skal multiplisere tallet på sysbus med en konstant og addere resultatet til innholdet i MAC-registeret. Dette skjer med op-code mac. Den skal kunne gjøre innholdet i MAC-registeret tilgjengelig på sysbus. Dette skjer med op-code mac. Når reset er '1' skal MAC-registeret og MULT_CONST-registeret nullstilles asynkront. De felles definisjonene for CPUen ser nå slik ut og er tilgjengelige fra pakken cpu_defs: package cpu_defs is type opcode is (load, store, add, sub, l_const, l_mac, mac, bne); constant word_w : NATURAL := 8; -- no. of bits for bus constant op_w : NATURAL := 3; -- no. of bits for opcode constant rfill : std_logic_vector(op_w - 1 downto 0) := (others => '0'); -- padding for address

8 Eksamen i emne EDT304T 4. desember 2013 Side 8 av 15 Ekstra kontrollsignal fra sequenceren som er nødvendig for denne enheten: MAC_bus load_mac Gjør innholdet i MAC-registeret tilgjengelig på bussen. (Aktiver tristate-utgangene.) Legg innholdet på databussen i MAC-registeret. load_const Legg innholdet på databussen i MULT_CONST-registeret. do_mac MAC_lsb Multipliser innholdet på databussen med konstanten i MULT_CONST-registeret og adder svaret til innholdet i MAC-registeret. Bruk LSB av MAC-registeret. A) Gjør ferdig entity-beskrivelsen for den nye Multiply-And-Accumulate-enheten (MAC). (Ikke skriv architecture.) library ieee; use ieee.std_logic_1164.all; use work.cpu_defs.all; -- Bruker definisjonene foran. entity MAC is end entity; -- Skriv den koden som mangler. B) Operasjonskodene for CPUen er definert slik: type opcode is (load, store, add, sub, l_const, l_mac, mac, bne); For å inkludere de nye funksjonene må sequenceren ha 15 tilstander. Tilstandene tilordnes med binær telling. Hvor mange bit trenger du i op-koderegisteret? Hvor mange kombinasjoner kan adresseres med dette registeret? Hva er sannsynligheten for at registeret kommer i en ubrukt kombinasjon når CPUen blir slått på?

9 Eksamen i emne EDT304T 4. desember 2013 Side 9 av 15 C) Skriv VHDL-koden for en prosess som realiserer MULT_CONST-registeret slik det er beskrevet foran og nedenfor. Les hele oppgaven før du begynner! Ikke skriv hele architecture for MAC-en. En definisjon fra første del av architecture for MAC-en: signal MULT_CONST : unsigned(word_w - 1 downto 0); -- MULT_CONST-registeret Prosessen skal ha asynkron reset, som er aktiv på '1', og den aktiveres på positiv flanke på klokkesignalet (clk). Kontrollsignalene fungerer slik: Når load_const er 1 skal data på sysbus kopieres inn i MULT_CONST. D) VHDL-koden som realiserer MAC-registeret slik det er beskrevet foran og nedenfor. Les hele oppgaven før du begynner! Ikke skriv hele architecture for MAC-en. En definisjon fra begynnelsen av architecture for MAC-enheten: signal MAC : unsigned(2*word_w - 1 downto 0); -- MAC-registeret Prosessen skal ha asynkron reset, som er aktiv på '1', og den aktiveres på positiv flanke på klokkesignalet (clk). Kontrollsignalene fungerer slik: Når load_mac er 1 og MAC_lsb er 1 og do_mac er 0, skal data på sysbus kopieres inn i LSB av MAC. Når load_mac er 1 og MAC_lsb er 0 og do_mac er 0, skal data på sysbus kopieres inn i MSB av MAC. Når load_mac er 1 og do_mac er 1 skal data på sysbus multipliseres med data i MULT_CONST og adderes til innholdet i MAC. Når load_mac er 0 og MAC_bus er 1 og MAC_lsb er 1 skal LSB av innholdet i MAC gjøres tilgjengelig på sysbus. Når load_mac er 0 og MAC_bus er 1 og MAC_lsb er 0 skal MSB av innholdet i MAC gjøres tilgjengelig på sysbus.

10 Eksamen i emne EDT304T 4. desember 2013 Side 10 av 15 Oppgave 3 (15 %) De angitte punktene i kretsen er feillokasjoner, eller plasser hvor vi modellerer feil, for del B) og C). A B1 & F G1 1 H & Q B C B2 & G G2 I 1 J E A) Hva sier enkeltfeilhypotesen, og hvorfor bruker vi den? B) Bruk D-algoritmen for å lage en test for feilen B2-Låst-til-0 (SA0). Det er tilstrekkelig å angi en testvektor. Kopier skjemaet til besvarelsen din og vis og forklar fremgangsmåten. Angi de feilene som ligger på D-veien og som blir detektert av testen. Angi de feilene som ikke ligger på D-veien, som også blir detektert av testen. C) Hvor mange feil av typen SA1/0 blir det modellert i denne kretsen? Er det mulig å redusere dette antallet? D) Hvordan kan vi teste en krets for forsinkelsesfeil? Forklar fremgangsmåten med et enkelt eksempel.

11 Eksamen i emne EDT304T 4. desember 2013 Side 11 av 15 Oppgave 4 (10 %) A) Angi betegnelse for og definisjon av de forskjellige størrelser som brukes til å spesifisere nøyaktigheten (eller unøyaktigheten) til en digital/analog-omformer. B) Hva betyr det at en D/A-omformer er multipliserende og at den er fire-kvadrants multipliserende? C) Hva menes med en glitch i forbindelse med D/A-omformere? Angi hvordan glitcher kan oppstå og hvordan de kan fjernes ("deglitcher").

12 VHDL QUICK REFERENCE CARD Revision 2.1 () Grouping [ ] Optional {} Repeated Alternative bold As is CAPS User Identifier italic VHDL-1993 Eksamen i emne EDT304T 4. desember 2013 Side 12 av 15 Vedlegg 1 1. LIBRARY UNITS 2. DECLARATIONS 2.1. TYPE DECLARATIONS 2.2. OTHER DECLARATIONS 3. EXPRESSIONS 3.1. OPERATORS, INCREASING PRECEDENCE [{use_clause}] entity ID is [generic ({ID : TYPEID [:= expr];});] [port ({ID : in out inout TYPEID [:= expr];});] [{declaration}] [ {parallel_statement}] end [entity] ENTITYID; [{use_clause}] architecture ID of ENTITYID is [{declaration}] [{parallel_statement}] end [architecture] ARCHID; [{use_clause}] package ID is [{declaration}] end [package] PACKID; [{use_clause}] package body ID is [{declaration}] end [package body] PACKID; [{use_clause}] configuration ID of ENTITYID is for ARCHID [{block_config comp_config}] end for; end [configuration] CONFID; use_clause::= library ID; [{use LIBID.PKGID[. all DECLID];}] block_config::= for LABELID [{block_config comp_config}] end for; comp_config::= for all LABELID : COMPID (use entity [LIBID.]ENTITYID [( ARCHID )] [[generic map ( {GENID => expr,} )] port map ({PORTID => SIGID expr,})]; [for ARCHID [{block_config comp_config}] end for;] end for;) (use config9uration [LIBID.]CONFID [[generic map ({GENID => expr,})] port map ({PORTID => SIGID expr,})];) end for; type ID is ( {ID,} ); type ID is range number downto to number; type ID is array ( {range TYPEID,}) of TYPEID; type ID is record {ID : TYPEID;} end record; type ID is access TYPEID; type ID is file of TYPEID; subtype ID is SCALARTYPID range range; subtype ID is ARRAYTYPID( {range,}); subtype ID is RESOLVFCTID TYPEID; range ::= (integer ENUMID to downto integer ENUMID) (OBJID [reverse_]range) (TYPEID range <>) constant ID : TYPEID := expr; [shared] variable ID : TYPEID [:= expr]; signal ID : TYPEID [:= expr]; file ID : TYPEID (is in out string;) (open read_mode write_mode append_mode is string;) alias ID : TYPEID is OBJID; attribute ID : TYPEID; attribute ATTRID of OBJID others all : class is expr; class ::= entity architecture configuration procedure function package type subtype constant signal variable component label component ID [is] [generic ( {ID : TYPEID [:= expr];} );] [port ({ID : in out inout TYPEID [:= expr];});] end component [COMPID]; [impure pure] function ID [( {[constant variable ignal file] ID : in out inout TYPEID [:= expr];})] return TYPEID [is {sequential_statement} end [function] ID]; procedure ID[({[constant variable signal] ID : in out inout TYPEID [:= expr];})] [is [{sequential_statement}] end [procedure] ID]; for LABELID others all : COMPID use (entity [LIBID.]ENTITYID [( ARCHID )]) (configuration [LIBID.]CONFID) [[gezneric map ( {GENID => expr,} )] port map ( {PORTID => SIGID expr,} )]; expression ::= (relation and relation) (relation nand relation) (relation or relation) (relation nor relation) (relation xor relation) (relation xnor relation) relation ::= shexpr [relop shexpr] shexpr ::= sexpr [shop sexpr] sexpr ::= [+ -] term {addop term} term ::= factor {mulop factor} factor ::= (prim [** prim]) (abs prim) (not prim) prim ::= literal OBJID OBJID ATTRID OBJID({expr,}) OBJID(range) ({[choice [{ choice}] =>] expr,}) FCTID({[PARID =>] expr,}) TYPEID (expr) TYPEID(expr) new TYPEID[ (expr)] ( expr ) choice ::= sexpr range RECFID others logop and or xor nand nor xnor relop = /= < <= > >= shop sll srl sla sra rol ror addop + - & mulop * / mod rem miscop ** abs not Qualis Design Corporation. Permission to reproduce and distribute strictly verbatim copies of this document in whole is hereby granted. See reverse side for additional information.

13 4. SEQUENTIAL STATEMENTS wait [on {SIGID,}] [until expr] [for time]; assert expr [report string] [severity note warning error failure]; report string [severity note warning error failure]; SIGID <= [transport] [[reject TIME] inertial] {expr [after time],}; VARID := expr; PROCEDUREID[({[PARID =>] expr,})]; [LABEL:] if expr then {sequential_statement} [{elsif expr then {sequential_statement}}] [else {sequential_statement}] end if [LABEL]; [LABEL:] case expr is {when choice [{ choice}] => {sequential_statement}} end case [LABEL]; [LABEL:] [while expr] loop {sequential_statement} end loop [LABEL]; [LABEL:] for ID in range loop {sequential_statement} end loop [LABEL]; next [LOOPLBL] [when expr]; exit [LOOPLBL] [when expr]; return [expression]; null; Eksamen i emne EDT304T 4. desember 2013 Side 13 av PARALLEL STATEMENTS LABEL: block [is] [generic ( {ID : TYPEID;} ); [generic map ( {[GENID =>] expr,} );]] [port ( {ID : in out inout TYPEID } ); [port map ( {[PORTID =>] SIGID expr,} )];] [{declaration}] [{parallel_statement}] end block [LABEL]; [LABEL:] [postponed] process [( {SIGID,} )] [{declaration}] [{sequential_statement}] end [postponed] process [LABEL]; [LBL:] [postponed] PROCID({[PARID =>] expr,}); [LABEL:] [postponed] assert expr [report string] [severity note warning error failure]; [LABEL:] [postponed] SIGID <= [transport] [[reject TIME] inertial] [{{expr [after TIME,]} unaffected when expr else}] {expr [after TIME,]} unaffected; [LABEL:] [postponed] with expr select SIGID <= [transport] [[reject TIME] inertial] {{expr [after TIME,]} unaffected when choice [{ choice}]}; LABEL: COMPID [[generic map ( {GENID => expr,} )] port map ( {[PORTID =>] SIGID expr,} )]; LABEL: entity [LIBID.]ENTITYID [(ARCHID)] [[generic map ( {GENID => expr,} )] port map ( {[PORTID =>] SIGID expr,} )]; LABEL: configuration [LIBID.]CONFID [[generic map ( {GENID => expr,} )] port map ( {[PORTID =>] SIGID expr,} )]; LABEL: if expr generate [{parallel_statement}] end generate [LABEL]; LABEL: for ID in range generate [{parallel_statement}] end generate [LABEL]; 6. PREDEFINED ATTRIBUTES TYPID base Base type TYPID left Left bound value TYPID right Right-bound value TYPID high Upper-bound value TYPID low Lower-bound value TYPID pos(expr) Position within type TYPID val(expr) Value at position TYPID succ(expr) Next value in order TYPID pred(expr) Previous value in order TYPID leftof(expr) Value to the left in order TYPID rightof(expr) Value to the right in order TYPID ascending Ascending type predicate TYPID image(expr) String image of value TYPID value(string) Value of string image ARYID left[(expr)] Left-bound of [nth] index ARYID right[(expr)] Right-bound of [nth] index ARYID high[(expr)] Upper-bound of [nth] index ARYID low[(expr)] Lower-bound of [nth] index ARYID range[(expr)] left down/to right ARYID reverse_range[(expr)] right down/to left ARYID length[(expr)] Length of [nth] dimension ARYID ascending[(expr)] right >= left? SIGID delayed[(time)] Delayed copy of signal SIGID stable[(time)] Signals event on signal SIGID quiet[(time)] Signals activity on signal SIGID transaction Toggles if signal active SIGID event Event on signal? SIGID active Activity on signal? SIGID last_event Time since last event SIGID last_active Time since last active SIGID last_value Value before last event SIGID driving Active driver predicate SIGID driving_value Value of driver OBJID simple_name Name of object OBJID instance_name Pathname of object OBJID path_name Pathname to object 7. PREDEFINED TYPES BOOLEAN True or false INTEGER 32 or 64 bits NATURAL Integers >= 0 POSITIVE Integers > 0 REAL Floating-point BIT 0, 1 BIT_VECTOR(NATURAL) Array of bits CHARACTER 7-bit ASCII STRING(POSITIVE) Array of characters TIME hr, min, sec, ms, us, ns, ps, fs DELAY_LENGTH Time >= 0 8. PREDEFINED FUNCTIONS NOW Returns current simulation time DEALLOCATE(ACCESSTYPOBJ) Deallocate dynamic object FILE_OPEN([status], FILEID, string, mode) Open file FILE_CLOSE(FILEID) Close file 9. LEXICAL ELEMENTS Identifier ::= letter { [underline] alphanumeric } decimal literal ::= integer [. integer] [E[+ -] integer] based literal ::= integer # hexint [. hexint] # [E[+ -] integer] bit string literal ::= B O X hexint comment ::= -- comment text Qualis Design Corporation. Permission to reproduce and distribute strictly verbatim copies of this document in whole is hereby granted. Qualis Design Corporation Elite Consulting and Training in High-Level Design Phone: FAX: info@qualis.com com Web: Also available: 1164 Packages Quick Reference Card Verilog HDL Quick Reference Card

14 Kandidatnummer: Eksamen i emne EDT304T Side av 4. desember 2013 Studentens kopi Svarark for Oppgave 1. Studentens kopi Dersom det er uoverensstemmelser mellom avkrysningene på tabellene så er det krysset på faglærerkopien som gjelder. Tabellen på denne siden kan du beholde selv. Før på sidenummer og kandidatnummer. Oppgave a b c d Side 14 av 15

15 Kandidatnummer: Eksamen i emne EDT304T Side av 4. desember 2013 Dette arket rives fra og leveres inn Svarark for Oppgave 1. Faglærerkopi Avkryssingene på dette arket regnes som ønsket avgitt svar. Dersom det er uoverensstemmelser mellom avkrysningene på tabellene så er det krysset på faglærerkopien som gjelder. Tabellen på denne siden leveres inn som en del av besvarelsen. Dette arket leveres sammen med originalarkene. Før på sidenummer og kandidatnummer. Oppgave a b c d Side 15 av 15

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Målform: Bokmål Eksamensdato: 5. desember 2012 Varighet/eksamenstid: Emnekode: Emnenavn: Klasse(r): 5 timer EDT304T 3EE Studiepoeng: 10 Faglærer(e): (navn

Detaljer

Høgskolen i Sør-Trøndelag Avdeling for teknologi

Høgskolen i Sør-Trøndelag Avdeling for teknologi Høgskolen i Sør-Trøndelag Avdeling for teknologi Eksamensdato: 2. desember 2011 Program for elektro- og datateknikk Varighet: Emnekode: Emnenavn: 5 timer EDT304T Digital Systemkonstruksjon Studiepoeng:

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Målform: Bokmål Eksamensdato: 3. desember 204 Varighet/eksamenstid: Emnekode: Emnenavn: Klasse(r): 5 timer TELE200 3EE Studiepoeng: 0 Faglærer(e): (navn

Detaljer

Høgskolen i Sør-Trøndelag Avdeling for teknologi

Høgskolen i Sør-Trøndelag Avdeling for teknologi Høgskolen i Sør-Trøndelag Avdeling for teknologi Eksamensdato: 3. desember 2010 Program for elektro- og datateknikk Varighet: Emnekode: Emnenavn: 5 timer EDT304T Digital Systemkonstruksjon Studiepoeng:

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Institutt for elektroteknikk Eksamensdato: 15. mai 2008 Varighet: Fagnummer: Fagnavn: 4 timer SO660E Digital Systemkonstruksjon Studiepoeng: 9 Klasse(r):

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Program for elektro- og datateknikk Kandidatnr: Eksamensdato: Lengd/eksamenstid: Emnekode: Emnenamn: Klasse: Studiepoeng: Faglerar: 12.05.2009 4 timar SO660E

Detaljer

Høgskolen i Sør-Trøndelag Avdeling for teknologi

Høgskolen i Sør-Trøndelag Avdeling for teknologi Høgskolen i Sør-Trøndelag Avdeling for teknologi Eksamensdato: 3. desember 2010 Program for elektro- og datateknikk Varighet: Emnekode: Emnenavn: 5 timer EDT304T Digital Systemkonstruksjon Studiepoeng:

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Program for elektro- og datateknikk Kandidatnr: Eksamensdato: Lengd/eksamenstid: Emnekode: Emnenamn: Klasse: Studiepoeng: Faglerar: Forslag på svar for

Detaljer

Synkron logikk. Sekvensiell logikk; to typer:

Synkron logikk. Sekvensiell logikk; to typer: Sekvensiell logikk De fleste digitale systemer har også minneelementer (f.eks flipflopper) i tillegg til kombinatorisk logikk, og kalles da sekvensiell logikk Output i en sekvensiell krets er avhengig

Detaljer

INF3430. VHDL byggeblokker og testbenker forts.

INF3430. VHDL byggeblokker og testbenker forts. INF343 VHDL byggeblokker og testbenker forts. Innhold Kombinatoriske kretser forts. Concurrent(dataflow) beskrivelser Beskrivelser ved bruk av process Testbenker for kombinatoriske kretser Stimuli Sammenligning

Detaljer

TELE2010A Digital Systemkonstruksjon

TELE2010A Digital Systemkonstruksjon TELE2010A Digital Systemkonstruksjon Øving 3/2015 Del 1, Teller: Husk å arbeide i det lokale arbeidsområdet på disken. Kopier filene til serveren når dere er ferdig for å kunne bruke dem neste gang. max_tall

Detaljer

Kombinatorisk og synkron logikk. Kapittel 4

Kombinatorisk og synkron logikk. Kapittel 4 Kombinatorisk og synkron logikk Kapittel 4 Eksempel; FIFO First-In-First-Out Eksempelet i boka er en noe redusert fifo (mangler empty flag, full flag osv.), men har de viktigste elementene Denne FIFOen

Detaljer

INF3430/4430. Kombinatoriske og sekvensielle byggeblokker implementert i VHDL :57

INF3430/4430. Kombinatoriske og sekvensielle byggeblokker implementert i VHDL :57 INF3430/4430 Kombinatoriske og sekvensielle byggeblokker implementert i VHDL 26.09.2005 20:57 Agenda Kombinatoriske kretser forts. Concurrent(dataflow) beskrivelser Beskrivelser ved bruk av process Testbenker

Detaljer

Tilstandsmaskiner (FSM) Kapittel 5

Tilstandsmaskiner (FSM) Kapittel 5 Tilstandsmaskiner (FSM) Kapittel 5 1) Sette opp tilstandsdiagram Tradisjonell konstruksjonsmetode 2) Sette opp tilstandstabell ut fra tilstandsdiagrammet Nåværende tilstand (PS) og input Neste tilstand

Detaljer

INF3430/4431. VHDL byggeblokker og testbenker forts.

INF3430/4431. VHDL byggeblokker og testbenker forts. INF3430/4431 VHDL byggeblokker og testbenker forts. Innhold Kombinatoriske kretser forts. Concurrent(dataflow) beskrivelser Beskrivelser ved bruk av process Testbenker for kombinatoriske kretser Stimuli

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO Eksamen i: UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet INF1400 Digital teknologi Eksamensdag: 29. november 2011 Tid for eksamen: Vedlegg: Tillatte hjelpemidler: Oppgavesettet er på

Detaljer

Entities and architectures. Kapittel 3

Entities and architectures. Kapittel 3 Entities and architectures Kapittel 3 VHDL program Én fil Entities and architectures Entity declaration og architecture body Analogi til en IC: Entiteten beskriver interfacet til omgivelsen (pakkens tilkoblingspinner)

Detaljer

INF3430/4431. VHDL byggeblokker og testbenker

INF3430/4431. VHDL byggeblokker og testbenker INF3430/4431 VHDL byggeblokker og testbenker Entity/architecture Innhold Strukturelle design (nettliste) Generics Configurations Operatorer-Operator prioritet (precedence) Datatyper Bit / IEEE1164 std_ulogic

Detaljer

Store design. Kapittel 6

Store design. Kapittel 6 Store design Kapittel 6 Hierarki hvorfor bruke det Dele opp designet i håndterbare designenheter. Fokusere på mindre, håndterbare enheter vil føre til færre feil og raskere debugging av feil. Verifisere

Detaljer

INF3430. Fasit eksamen Høst 2009. Oppgave 1 6. Oppgave A B C D E 1 X X 2 X 3 X X 4 X X 5 X X 6 X

INF3430. Fasit eksamen Høst 2009. Oppgave 1 6. Oppgave A B C D E 1 X X 2 X 3 X X 4 X X 5 X X 6 X INF3430. Fasit eksamen Høst 2009. Oppgave 1 6. Oppgave A B C D E 1 X X 2 X 3 X X 4 X X 5 X X 6 X INF3430 Eksamen H09 VHDL besvarelse Oppgave 7: signal_values INF3430 - H09 1 INF3430 Eksamen H09 VHDL besvarelse

Detaljer

VHDL En kjapp introduksjon VHDL. Oversikt. VHDL versus C(++)/Java

VHDL En kjapp introduksjon VHDL. Oversikt. VHDL versus C(++)/Java Oversikt VHDL En kjapp introduksjon Definisjoner Designparadigmer Generell VHDL-struktur Dataflow -beskrivelse Structural -beskrivelse Behaviour -beskrivelse Objekter /datatyper Operatorer Tips for syntese

Detaljer

INF3430/4431. VHDL byggeblokker og testbenker forts.

INF3430/4431. VHDL byggeblokker og testbenker forts. INF343/4431 VHDL byggeblokker og testbenker forts. Innhold IEEE 1164 std_logic Configurations Kombinatoriske kretser forts. Concurrent(dataflow) beskrivelser Beskrivelser ved bruk av process Testbenker

Detaljer

INF3430. VHDL byggeblokker og testbenker

INF3430. VHDL byggeblokker og testbenker INF3430 VHDL byggeblokker og Innhold Entity/architecture Strukturelle design (nettliste) Generics Configurations Operatorer-Operator prioritet (precedence) Datatyper Bit / IEEE1164 std_ulogic /std_logic

Detaljer

EKSAMEN Emnekode: ITD13012

EKSAMEN Emnekode: ITD13012 EKSAMEN Emnekode: ITD13012 Dato: 29.11.2017 Hjelpemidler: To (2) A4-ark (fire sider) med egne notater. HIØ-kalkulator som kan lånes under eksamen. Emnenavn: Datateknikk Eksamenstid: 3 timer Faglærer: Robert

Detaljer

Oppgave 1 En 4-input Xilinx LUT med innhold 9009 (hex) realiserer en: A xor-xor-or B xor-xor-nand C xor-xor-nor D xor-xor-and E xor-xor-xor

Oppgave 1 En 4-input Xilinx LUT med innhold 9009 (hex) realiserer en: A xor-xor-or B xor-xor-nand C xor-xor-nor D xor-xor-and E xor-xor-xor Oppgave 1 En 4-input Xilinx LU med innhold 9009 (hex) realiserer en: Oppgave 2 PGA-teknologi A xor-xor-or B xor-xor-nand C xor-xor-nor D xor-xor-and E xor-xor-xor A orbindslinjer mellom LU er har vanligvis

Detaljer

Emnenavn: Datateknikk. Eksamenstid: 3 timer. Faglærer: Robert Roppestad. består av 5 sider inklusiv denne forsiden, samt 1 vedleggside.

Emnenavn: Datateknikk. Eksamenstid: 3 timer. Faglærer: Robert Roppestad. består av 5 sider inklusiv denne forsiden, samt 1 vedleggside. Høgskolen i østfold EKSAMEN Emnekode: ITD13012 Dato: 2.12.2016 Hjelpemidler: To (2) A4-ark (fire sider) med egne notater Hlø-kalkulator som kan lånes under eksamen Emnenavn: Datateknikk Eksamenstid: 3

Detaljer

EKSAMEN I FAG TFE4101 KRETS- OG DIGITALTEKNIKK

EKSAMEN I FAG TFE4101 KRETS- OG DIGITALTEKNIKK Side 1 av 13 INSTITUTT FOR ELEKTRONIKK OG TELEKOMMUNIKASJON EKSAMEN I FAG TFE4101 KRETS- OG DIGITALTEKNIKK Faglig kontakt: Peter Svensson (1 3.5) / Kjetil Svarstad (3.6 4) Tlf.: 995 72 470 / 458 54 333

Detaljer

INF3430/4430. Grunnleggende VHDL. 11-Sep-06

INF3430/4430. Grunnleggende VHDL. 11-Sep-06 INF3430/4430 Grunnleggende VHDL 11-Sep-06 Agenda Entity/architecture Strukturelle design (netlist) Generics Configurations Operatorer-Operator presedence Datatyper Bit / IEEE1164 Std_ulogic /std_logic

Detaljer

INF3430/4430. Grunnleggende VHDL

INF3430/4430. Grunnleggende VHDL INF3430/4430 Grunnleggende VHDL 26.09.2005 20.57 Agenda Entity/architecture Strukturelle design (netlist) Generics Configurations Operatorer-Operator presedence Datatyper Bit / IEEE1164 Std_ulogic /std_logic

Detaljer

NY EKSAMEN Emnekode: ITD13012

NY EKSAMEN Emnekode: ITD13012 NY EKSAMEN Emnekode: ITD13012 Dato: 30.05.2018 Hjelpemidler: To (2) A4-ark (fire sider) med egne notater. HIØ-kalkulator som kan lånes under eksamen. Emnenavn: Datateknikk (deleksamen 1) Eksamenstid: 3

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO Side 1 UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1400 Eksamensdag: Fredag 3. desember Tid for eksamen: kl. 14:30-18:30 (4 timer). Oppgavesettet er på side(r) 7 sider

Detaljer

INF3430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer Innhold Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard biblioteker

Detaljer

består av 7 sider inklusiv denne forsiden og vedlegg. Kontroller at oppgaven er komplett før du begynner å besvare spørsmålene.

består av 7 sider inklusiv denne forsiden og vedlegg. Kontroller at oppgaven er komplett før du begynner å besvare spørsmålene. Høgskolen i østfold EKSAMEN Emnekode: ITD13012 Dato: Emnenavn: Datateknikk Eksamenstid: 10.5.16 9.00 12.00, 3 timer Hjelpemidler: To A4-ark (fire sider) med egne notater Faglærer: Robert Roppestad "Ikke-kommuniserende"

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1400 Digital teknologi Eksamensdag: 3. desember 2008 Tid for eksamen: 14:30 17:30 Oppgavesettet er på 5 sider Vedlegg: 1 Tillatte

Detaljer

Gruppe(r): 2EY 30.05.02. Eksamenstid, fra-til: 09 00-14 00 Eksamensoppgaven består av. Antall sider: 4 (Inkludert denne)

Gruppe(r): 2EY 30.05.02. Eksamenstid, fra-til: 09 00-14 00 Eksamensoppgaven består av. Antall sider: 4 (Inkludert denne) HØGSKOLEN I OSLO Avdeling for ingeniørutdanning EKSAMENSOPPGAVE Fag: ELEKTRONIKK II Fagnr: SO313E Faglig veileder: K. H. Nygård, V. Tyssø Gruppe(r): 2EY Dato: 30.05.02 Eksamenstid, fra-til: 09 00-14 00

Detaljer

Høgskoleni østfold EKSAMEN. Dato: Eksamenstid: kl til kl. 1200

Høgskoleni østfold EKSAMEN. Dato: Eksamenstid: kl til kl. 1200 Høgskoleni østfold EKSAMEN Emnekode: ITD13012 Emne: Datateknikk Dato: 3.12.2014 Eksamenstid: kl. 0900 til kl. 1200 Hjelpemidler: to A4-ark (fire sider) med egne notater "ikke-kommuniserende" kalkulator

Detaljer

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE Emne: Gruppe(r): 2E Eksamensoppgaven består av: ELEKTRONIKK II Antall sider (inkl. forsiden): 4 Emnekode: SO 313E Dato: 5. juni 2003 Antall oppgaver: 8 Faglig

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Målform: Eksamensdato: 17.12.2014 Varighet/eksamenstid: Emnekode: Emnenavn: Klasse(r): 3 timer TELE1001A 14H Ingeniørfaglig yrkesutøving og arbeidsmetoder

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO et matematisk-naturvitenskapelige fakultet Eksamen i: INF1400 igital teknologi Eksamensdag: 3. desember 2008 Tid for eksamen: 14:30 17:30 Oppgavesettet er på 5 sider Vedlegg: 1 Tillatte

Detaljer

EKSAMEN. Informasjon om eksamen. Emnekode og -navn: ITD13012 Datateknikk. Dato og tid: timer. Fagansvarlig: Robert Roppestad

EKSAMEN. Informasjon om eksamen. Emnekode og -navn: ITD13012 Datateknikk. Dato og tid: timer. Fagansvarlig: Robert Roppestad Informasjon om eksamen EKSAMEN Emnekode og -navn: ITD13012 Datateknikk Dato og tid: 13.5.19 3 timer Fagansvarlig: Robert Roppestad Hjelpemidler: - to A4-ark (fire sider) med egne notater - godkjent kalkulator

Detaljer

Agenda Funksjoner og prosedyrer. Funksjoner

Agenda Funksjoner og prosedyrer. Funksjoner Aga Funksjoner og prosedyrer Funksjoner Operatorer Standard funksjoner/operatorer Overloading Package og Package body Operator inferencing Prosedyrer Side 1 Funksjoner(1) Benyttes mye i modeller for simulering

Detaljer

INF3430/4430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430/4430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430/4430 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer 19.09.2006 Agenda Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard

Detaljer

HØGSKOLEN I SØR-TRØNDELAG

HØGSKOLEN I SØR-TRØNDELAG HØGSKOLEN I SØR-TRØNDELAG Avdeling for informatikk og e-læring - AITeL Kandidatnr: Eksamensdato: 15.desember 2004 Varighet: Fagnummer: Fagnavn: Klasse(r): 3 timer LO116D Programmering i Visual Basic FU

Detaljer

Høgskoleni østfold EKSAMEN. Emnekode: Emne: ITD13012 Datateknikk (deleksamen 1, høstsemesteret) Dato: Eksamenstid: kl til kl.

Høgskoleni østfold EKSAMEN. Emnekode: Emne: ITD13012 Datateknikk (deleksamen 1, høstsemesteret) Dato: Eksamenstid: kl til kl. Høgskoleni østfold EKSAMEN Emnekode: Emne: ITD13012 Datateknikk (deleksamen 1, høstsemesteret) Dato: 02.12.2015 Eksamenstid: kl. 0900 til kl. 1200 Hjelpemidler: Faglærer: to A4-ark (fire sider) med egne

Detaljer

Dagens temaer. Sekvensiell logikk: Kretser med minne. D-flipflop: Forbedring av RS-latch

Dagens temaer. Sekvensiell logikk: Kretser med minne. D-flipflop: Forbedring av RS-latch Dagens temaer Sekvensiell logikk: Kretser med minne RS-latch: Enkleste minnekrets D-flipflop: Forbedring av RS-latch Presentasjon av obligatorisk oppgave (se også oppgaveteksten på hjemmesiden). 9.9.3

Detaljer

INF3430/4431. Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA

INF3430/4431. Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA INF3430/4431 Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA Agenda Hva skal vi gjøre i INF3430/4431? VDHL simulering/syntese Place & Route til FPGA Prøve ut design i ekte hardware Hvorfor VHDL

Detaljer

INF3430/4430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430/4430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430/4430 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer 2005-10-26 Agenda Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1400 Digital teknologi Eksamensdag: 5. desember 2005 Tid for eksamen: 9-12 Vedlegg: Tillatte hjelpemidler: Oppgavesettet er

Detaljer

INF3430/4431. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430/4431. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430/4431 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer Innhold Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard biblioteker

Detaljer

EKSAMEN I FAG TFE4101 KRETS- OG DIGITALTEKNIKK, LF DIGITALTEKNIKKDELEN AV EKSAMEN (VERSJON 1)

EKSAMEN I FAG TFE4101 KRETS- OG DIGITALTEKNIKK, LF DIGITALTEKNIKKDELEN AV EKSAMEN (VERSJON 1) Side 1 av 14 INSTITUTT FOR ELEKTRONIKK OG TELEKOMMUNIKASJON EKSAMEN I FAG TFE4101 KRETS- OG DIGITALTEKNIKK, LF DIGITALTEKNIKKDELEN AV EKSAMEN (VERSJON 1) Faglig kontakt: Ragnar Hergum (1 3.5) / Per Gunnar

Detaljer

EKSAMEN. Emne: Algoritmer og datastrukturer

EKSAMEN. Emne: Algoritmer og datastrukturer 1 EKSAMEN Emnekode: ITF20006 000 Dato: 18. mai 2012 Emne: Algoritmer og datastrukturer Eksamenstid: 09:00 til 13:00 Hjelpemidler: 8 A4-sider (4 ark) med egne notater Faglærer: Gunnar Misund Oppgavesettet

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Kandidatnr: Eksamensdato: 13.desember 2013 Varighet/eksamenstid: 09.00-12.00 Emnekode: Emnenavn: Klasse: EDT208T-A Programmerbare logiske styringer 3EK

Detaljer

EKSAMEN (Del 1, høsten 2015)

EKSAMEN (Del 1, høsten 2015) EKSAMEN (Del 1, høsten 2015) Emnekode: ITD13012 Emne: Datateknikk Dato: 02.12.2015 Eksamenstid: kl 0900 til kl 1200 Hjelpemidler: Faglærer: to A4-ark (fire sider) med egne notater Robert Roppestad "ikke-kommuniserende"

Detaljer

MAX MIN RESET. 7 Data Inn Data Ut. Load

MAX MIN RESET. 7 Data Inn Data Ut. Load UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i IN 240 çç Digital Systemkonstruksjon Eksamensdag: 6. desember 2000 Tid for eksamen: 9.00 ç 15.00 Oppgavesettet er p 5 sider. Vedlegg:

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for informatikk og e-læring - AITeL

HØGSKOLEN I SØR-TRØNDELAG Avdeling for informatikk og e-læring - AITeL HØGSKOLEN I SØR-TRØNDELAG Avdeling for informatikk og e-læring - AITeL Kandidatnr: Eksamensdato: 12. desember 2002 Varighet: Fagnummer: Fagnavn: Klasse(r): 3 timer LO116D Programmering i Visual Basic FU

Detaljer

HØGSKOLEN I SØR-TRØNDELAG

HØGSKOLEN I SØR-TRØNDELAG HØGSKOLEN I SØR-TRØNDELAG Avdeling for informatikk og e-læring - AITeL Eksamensdato: 15.des 2011 Studiepoeng: 6 Varighet: 4 timer. Start kl 09:00 og skal leveres inn senest kl 13:00 Emnekode: Emnenavn:

Detaljer

Dagens tema: Sjekking

Dagens tema: Sjekking Dagens tema Dagens tema: Sjekking Navnebinding (obligatorisk oppgave 3) Biblioteket Logging Riktig bruk av navn (frivillig) Typesjekking (frivillig) Hele prosjektet Strukturen til kompilatoren vår f.pas

Detaljer

EKSAMEN (Del 1, høsten 2014)

EKSAMEN (Del 1, høsten 2014) EKSAMEN (Del 1, høsten 2014) Emnekode: ITD13012 Emne: Datateknikk Dato: 03.12.2014 Eksamenstid: kl 0900 til kl 1200 Hjelpemidler: to A4-ark (fire sider) med egne notater "ikke-kommuniserende" kalkulator

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Kandidatnr: Eksamensdato: 14.desember 2012 Varighet/eksamenstid: 09.00-12.00 Emnekode: Emnenavn: Klasse: EDT208T-A Programmerbare logiske styringer 3EK

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi LØSNINGSFORSLAG EDT208T-A. Programmerbare logiske styringer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi LØSNINGSFORSLAG EDT208T-A. Programmerbare logiske styringer HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi LØSNINGSFORSLAG Eksamensdato: 14.desember 2012 Varighet/eksamenstid: 09.00-12.00 Emnekode: Emnenavn: Klasse: EDT208T-A Programmerbare logiske styringer

Detaljer

Dagens temaer. temaer hentes fra kapittel 3 i Computer Organisation. av sekvensielle kretser. and Architecture. Tilstandsdiagram.

Dagens temaer. temaer hentes fra kapittel 3 i Computer Organisation. av sekvensielle kretser. and Architecture. Tilstandsdiagram. Dagens temaer 1 Dagens Sekvensiell temaer hentes fra kapittel 3 i Computer Organisation and Architecture logikk Flip-flop er Design av sekvensielle kretser Tilstandsdiagram Tellere og registre Sekvensiell

Detaljer

Versjon2.0/ ChipScope PRO - En kort innføring

Versjon2.0/ ChipScope PRO - En kort innføring Versjon2.0/29.09.2013 ChipScope PRO - En kort innføring Innhold Innledning...3 Generering av Chipscope kjerner...4 Generering av ICON (Integrated Controller) modul...6 Generering av ILA (Integrated Logic

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Eksamensdato: 17. Desember 2012 Varighet/eksamenstid: 0900-1300 Emnekode: Emnenavn: Klasse: EDT212T Reguleringsteknikk grunnkurs 2EL Studiepoeng: 7.5 Faglærer:

Detaljer

INF2270. Sekvensiell Logikk

INF2270. Sekvensiell Logikk INF227 Sekvensiell Logikk Hovedpunkter Definisjoner Portforsinkelse Shift register Praktiske Eksempler Latch SR D Flip-Flop D JK T Tilstandsmaskiner Tilstandsdiagrammer Reduksjon av tilstand Ubrukte tilstander

Detaljer

Dagens temaer. Architecture INF ! Dagens temaer hentes fra kapittel 3 i Computer Organisation and

Dagens temaer. Architecture INF ! Dagens temaer hentes fra kapittel 3 i Computer Organisation and Dagens temaer! Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture! Enkoder/demultiplekser (avslutte fra forrige gang)! Kort repetisjon 2-komplements form! Binær addisjon/subtraksjon!

Detaljer

INF3430 Høsten ChipScope PRO - En kort innføring

INF3430 Høsten ChipScope PRO - En kort innføring INF3430 Høsten 2008 ChipScope PRO - En kort innføring Innhold Innledning... 3 Generering av Chipscope kjerner... 4 Generering av ICON (Integrated Controller) modul... 4 Generering av ILA (Integrated Logic

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Målform: Eksamensdato: 18.12.2013 Varighet/eksamenstid: Emnekode: Emnenavn: Klasse(r): 3 timer TELE1001A 13H Ingeniørfaglig yrkesutøving og arbeidsmetoder

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO Eksamen i UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamensdag: 15. desember 2010 Tid for eksamen: 14.30 18.30 Oppgavesettet er på 8 sider. Vedlegg: Tillatte hjelpemidler: INF2220

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Målform: Bokmål Eksamensdato: 16.mai 1 Varighet/eksamenstid: Emnekode: Emnenavn: 5 timer EDT4T Signalbehandling Klasse(r): EI EE Studiepoeng: 1 Faglærer(e):

Detaljer

TDT DESEMBER, 2008, 09:00 13:00

TDT DESEMBER, 2008, 09:00 13:00 Norwegian University of Science and Technology Faculty of Information Technology, Mathematics and Electrical Engineering The Department of Computer and Information Science TDT4160 DATAMASKINER GRUNNKURS

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for informatikk og e-læring - AITeL

HØGSKOLEN I SØR-TRØNDELAG Avdeling for informatikk og e-læring - AITeL HØGSKOLEN I SØR-TRØNDELAG Avdeling for informatikk og e-læring - AITeL Kandidatnr: Eksamensdato: 15. mai 2003 Varighet: Fagnummer: Fagnavn: Klasse(r): 3 timer LO116D Programmering i Visual Basic FU Studiepoeng:

Detaljer

TDT4160 AUGUST, 2008, 09:00 13:00

TDT4160 AUGUST, 2008, 09:00 13:00 Norwegian University of Science and Technology Faculty of Information Technology, Mathematics and Electrical Engineering The Department of Computer and Information Science TDT4160 DATAMASKINER GRUNNKURS

Detaljer

Løsningsforslag INF1400 H04

Løsningsforslag INF1400 H04 Løsningsforslag INF1400 H04 Oppgave 1 Sannhetstabell og forenkling av Boolske uttrykk (vekt 18%) I figuren til høyre er det vist en sannhetstabell med 4 variable A, B, C og D. Finn et forenklet Boolsk

Detaljer

TDT DESEMBER, 2012, 09:00 13:00. Norwegian University of Science and Technology Engineering The Department of Computer and Information Science

TDT DESEMBER, 2012, 09:00 13:00. Norwegian University of Science and Technology Engineering The Department of Computer and Information Science Norwegian University of Science and Technology Engineering The Department of Computer and Information Science TDT4160 DATAMASKINER GRUNNKURS EKSAMEN 17. DESEMBER, 2012, 09:00 13:00 Kontakt under eksamen:

Detaljer

INF 3430/4430. Viktige momenter i syntese og for valg av teknologi

INF 3430/4430. Viktige momenter i syntese og for valg av teknologi INF 3430/4430 Viktige momenter i syntese og for valg av teknologi 17.10.2007 Agenda RTL syntese Constraints Pipelining Syntese for FPGA Behavorial syntese INF3430/4430 Side 2 RTL/ Behavorial syntese RTL

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i Eksamensdag: 12. desember 2008 Tid for eksamen: 9.00 12.00 Oppgavesettet er på 7 sider. Vedlegg: Tillatte hjelpemidler: INF2220

Detaljer

En mengde andre typer som DVD, CD, FPGA, Flash, (E)PROM etc. (Kommer. Hukommelse finnes i mange varianter avhengig av hva de skal brukes til:

En mengde andre typer som DVD, CD, FPGA, Flash, (E)PROM etc. (Kommer. Hukommelse finnes i mange varianter avhengig av hva de skal brukes til: 2 Dagens temaer Dagens 4 Sekvensiell temaer hentes fra kapittel 3 i Computer Organisation and Architecture Design Flip-flop er av sekvensielle kretser Tellere Tilstandsdiagram og registre Sekvensiell Hvis

Detaljer

HØGSKOLEN I SØR-TRØNDELAG

HØGSKOLEN I SØR-TRØNDELAG HØGSKOLEN I SØR-TRØNDELAG Avdeling for informatikk og e-læring - AITeL Kandidatnr: Eksamensdato: 19. mai 2004 Varighet: Fagnummer: Fagnavn: Klasse(r): 3 timer LO116D Programmering i Visual Basic FU Studiepoeng:

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Målform: Bokmål Eksamensdato: 6.mai 215 Varighet/eksamenstid: 5 timer Emnekode: TELE 23 Emnenavn: Signalbehandling Klasse(r): 2EI 2EE Studiepoeng: 1 Faglærer(e):

Detaljer

HØGSKOLEN I SØR-TRØNDELAG

HØGSKOLEN I SØR-TRØNDELAG HØGSKOLEN I SØR-TRØNDELAG Avdeling for informatikk og e-læring Målform: Eksamensdato: Bokmål 9.mai 202 Varighet/eksamenstid: 0900-300 Emnekode: Emnenavn: Klasse(r): Studiepoeng: LC9D/LO9D Videregående

Detaljer

Emnenavn: Datateknikk. Eksamenstid: 3 timer. Faglærere: Robert Roppestad. Hele oppgavesettet består av 8 oppgaver, samt 1 vedlegg.

Emnenavn: Datateknikk. Eksamenstid: 3 timer. Faglærere: Robert Roppestad. Hele oppgavesettet består av 8 oppgaver, samt 1 vedlegg. EKSAMEN Emnekode: ITD13012 Dato: 10. mai 2017 Hjelpemidler: To A4-ark (fire sider) med egne notater Emnenavn: Datateknikk Eksamenstid: 3 timer Faglærere: Robert Roppestad Kalkulator som kan lånes av HIØ

Detaljer

Oversikt Kodegenerering Variabler Setninger Uttrykk While-setningen

Oversikt Kodegenerering Variabler Setninger Uttrykk While-setningen Dagens tema Dagens tema: Kodegenerering Introduksjon Enkle variabler Uttrykk Tilordning Litt mer kompliserte setninger med betingelser (Alt om kodegenerering unntatt funksjoner.) Prosjektoversikt Del 0

Detaljer

Digitalstyring sammendrag

Digitalstyring sammendrag Digitalstyring sammendrag Boolsk algebra A + A = 1 AA = 0 A + A = A AA = A A + 0 = A A 1 = A A + 1 = 1 A 0 = 0 (A ) = A A + B = B + A AB = BA A + (B + C) = (A + B) + C A(BC) = (AB)C A(B + C) = AB + AC

Detaljer

INF 3430/4431. Simuleringsmetodikk

INF 3430/4431. Simuleringsmetodikk INF 3430/4431 Simuleringsmetodikk Innhold Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Fil-operasjoner Eksempel på SRAM modell og simulering av lesing fra denne INF3430/4431

Detaljer

Høgskoleni østfold EKSAMEN. Oppgavesettet består av 8 sider inklusiv denne forsiden og vedlegg.

Høgskoleni østfold EKSAMEN. Oppgavesettet består av 8 sider inklusiv denne forsiden og vedlegg. Høgskoleni østfold EKSAMEN Emnekode:Emne: ITD13012Datateknikk Dato:Eksamenstid: 13. mai 2015kl. 09.00 til k1.12.00, 3 timer Hjelpemidler: to A4-ark (fire sider) med egne notater Ikke-kommuniserende kalkulator

Detaljer

1,r H øgs kolen i Østfol d

1,r H øgs kolen i Østfol d 1,r H øgs kolen i Østfol d EKSAMEN Emnekode: ITF22506 Emne: Operativsystemer Dato: 2. juni 2010 Eksamenstid: kl. 9.00 til kl. 13.00 Hjelpemidler: Faglærer: 1. Læreboken "A Practical Guide to Red Hat Linux"

Detaljer

Plan: Parameter-overføring Alias Typer (Ghezzi&Jazayeri kap.3 frem til 3.3.1) IN 211 Programmeringsspråk

Plan: Parameter-overføring Alias Typer (Ghezzi&Jazayeri kap.3 frem til 3.3.1) IN 211 Programmeringsspråk Plan: Parameter-overføring Alias Typer (Ghezzi&Jazayeri kap.3 frem til 3.3.1) Funksjonelle språk (Ghezzi&Jazayeri kap.7 frem til 7.4) Neste uke: ML Ark 1 av 16 Forelesning 16.10.2000 Parameteroverføring

Detaljer

File: C:\My Documents\fagprove\tp\klokke.txt , 08:42:20

File: C:\My Documents\fagprove\tp\klokke.txt , 08:42:20 1 {************************************************************** 2 3 F A G P R Ø V E 4 5 F O R 6 7 H A L V A R D S K U R V E 8 9 10 11 12 Versjon: Dato: Beskrivelse: 13 ----------------------------------------------------------------

Detaljer

INF3430/4431 Høsten Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter

INF3430/4431 Høsten Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter INF343/443 Høsten 2 Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter Innledning. Målene med denne laboppgaven er å lære om subprogrammer og biblioteker i VHDL

Detaljer

Vi skal se på lambda-uttrykk. Følgende er definerte og vil bli brukt gjennom oppgaven

Vi skal se på lambda-uttrykk. Følgende er definerte og vil bli brukt gjennom oppgaven SLI 230 - side 2 av 8 EKSAMENSOPPGAVE - SLI 230 - VÅR 2000 Nedenfor følger eksamensoppgaver i SLI 230. Først om oppgavene Bakerst følger to sider med hjelp slik det er avtalt - liste over primitiver fra

Detaljer

EKSAMEN. Dato: 9. mai 2016 Eksamenstid: 09:00 13:00

EKSAMEN. Dato: 9. mai 2016 Eksamenstid: 09:00 13:00 EKSAMEN Emnekode: ITF20006 Emne: Algoritmer og datastrukturer Dato: 9. mai 2016 Eksamenstid: 09:00 13:00 Hjelpemidler: Alle trykte og skrevne Faglærer: Jan Høiberg Om eksamensoppgavene: Oppgavesettet består

Detaljer

Det matematisk-naturvitenskapelige fakultet. INF4431 Digital systemkonstruksjon

Det matematisk-naturvitenskapelige fakultet. INF4431 Digital systemkonstruksjon Side 1 UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF4431 Digital systemkonstruksjon Eksamensdag: 7. desember 2011 Tid for eksamen: 9-13 Oppgavesettet er på 11 sider Vedlegg:

Detaljer

Avdeling for ingeniørutdanning Institutt for teknologi

Avdeling for ingeniørutdanning Institutt for teknologi Avdeling for ingeniørutdanning Institutt for teknologi Oppgavetittel: Obligatorisk prosjektoppgave 1 Fag(nr./navn): Maskinvareutvikling DMVA-2060 Gruppemedlemmer: T. Alexander Lystad Faglærer: Zoran Dokic

Detaljer

EKSAMEN I FAG TFE4101 KRETS- OG DIGITALTEKNIKK

EKSAMEN I FAG TFE4101 KRETS- OG DIGITALTEKNIKK Side 1 av 14 INSTITUTT FOR ELEKTRONIKK OG TELEKOMMUNIKASJON EKSAMEN I FAG TFE4101 KRETS- OG DIGITALTEKNIKK Faglig kontakt: Peter Svensson (1 3.5) / Kjetil Svarstad (3.6 4) Tlf.: 995 72 470 / 458 54 333

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Målform: Bokmål Eksamensdato: 7. januar 2011 Varighet/eksamenstid: 0900-1300 Emnekode: Emnenavn: Klasse: EDT212T Reguleringsteknikk grunnkurs 2EL Studiepoeng:

Detaljer

INF 3430/4430. Simuleringsmetodikk

INF 3430/4430. Simuleringsmetodikk INF 3430/4430 Simuleringsmetodikk Innhold Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Fil-operasjoner Eksempel på SRAM modell og simulering av lesing fra denne INF3430 Side

Detaljer

Løsningsforslag til EKSAMEN

Løsningsforslag til EKSAMEN Løsningsforslag til EKSAMEN Emnekode: ITD006 Emne: Fysikk og datateknikk Dato: 06. Mai 009 Eksamenstid: kl 9:00 til kl 3:00 Hjelpemidler: 4 sider (A4) ( ark) med egne notater. Kalkulator. Gruppebesvarelse,

Detaljer

Løsningsforslag til 1. del av Del - EKSAMEN

Løsningsforslag til 1. del av Del - EKSAMEN Løsningsforslag til 1. del av Del - EKSAMEN Emnekode: ITD13012 Emne: Datateknikk Dato: 27. November 2012 Eksamenstid: kl 9:00 til kl 12:00 Hjelpemidler: 4 sider (A4) (2 ark) med egne notater. Ikke-kummuniserende

Detaljer

INF 3430/4430. Simuleringsmetodikk

INF 3430/4430. Simuleringsmetodikk INF 3430/4430 Simuleringsmetodikk 02.11.2005 Agenda Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Verifikasjon av syntetisert/plassert design mot RTL-kode Fil-operasjoner

Detaljer