UNIVERSITETET I OSLO

Størrelse: px
Begynne med side:

Download "UNIVERSITETET I OSLO"

Transkript

1 Side 1 av 4 UNIVERSITETET I OSLO et matematisk-naturvitenskapelige fakultet Eksamen i: INF3430/INF4430 igital systemkonstruksjon Eksamensdag: 30. november 2005 Tid for eksamen: 9-12 Oppgavesettet er på 4 sider Vedlegg: 1 Tillatte hjelpemidler: Ingen Kontroller at oppgavesettet er komplett før du begynner å besvare spørsmålene. Oppgaveteksten består av oppgave 1 14 (flervalgsoppgaver) som skal besvares med skjemaet som er vedlagt etter oppgaveteksten og oppgave 15 som besvares på vanlige ark. Oppgave 1-14 har til sammen vekt på 40%, mens oppgave 15 har vekt på 60%. Generelt for oppgave 1-14: Hver oppgave består at et tema/spørsmål i venstre kolonne og en del utsagn hver angitt med en stor bokstav. Oppgavene besvares ved å merke tydelige kryss (X) i rett kolonne for riktig svaralternativ (dvs. at et utsagn er sant) i skjemaet i vedlegget. et er alltid minst en riktig avmerking for hver oppgave, men det er ofte flere riktige avmerkninger. For å få best karakter skal man sette flere kryss i en oppgave hvis det er flere riktige svar. et gis 2 poeng for hver avkrysning der det skal være avkrysning. et gis -1 poeng for hver avkrysning der det ikke skal være avkrysning. Mangel på kryss der det skal være kryss gir også -1 poeng. u kan benytte høyre kolonne i oppgaveteksten til kladd. Skjema påført ditt kandidatnummer i vedlegget er din besvarelse. Oppgave 1 Lagring av konfigurasjon i FPG med SRM teknologi E Krever liten plass Krever innlesning av konfigurasjon ved oppstart Kan programmeres et uendelig antall ganger Er en ekstra pålitelig teknologi nvendes ofte i FPGer

2 Oppgave 2 Lagring av konfigurasjon i FPG med ntifuse teknologi E Krever høy programmeringsspenning Kan slettes med UV-lys Krever egen programmeringsenhet Krever liten plass Gjør det kun mulig å programmere FPG en gang Side 2 av 4 Oppgave 3 Tidsforsinkelse i moderne FPGer Forbindelseslinjer har større tidsforsinkelse enn LUTer Forbindelseslinjer har omtrent lik tidsforsinkelse som LUTer Forbindelseslinjer har mindre tidsforsinkelse enn LUTer åde forbindelseslinjer og LUTer har ubetydelig tidsforsinkelse Oppgave 4 Simulering Simulering er viktig for å finne feil tidlig Simulering gjøres enklest etter at FPGen er programmert Simulering er en type verifisering Simulering med tidsforsinkelser er raskere enn uten Oppgave 5 Testbenker Testbenker lager input-stimuli til krets som skal simuleres Testbenker kan benytte hele VHL-språket Testbenker syntetiseres ofte Testbenker kan sjekke utganger på krets som skal simuleres Oppgave 6 Syntese Synteseprosessen lager en nettliste på portnivå Resultat fra synteseprosessen er teknologiuavhengig Synteseverktøyet benyttes til å simulere kretsen man utvikler Oppgave 7 Myke og harde kjerner FPG kan inneholde myke kjerner Harde kjerner kan fjernes fra FPGen hvis de ikke benyttes En hard kjerne tar mindre fysisk plass enn en tilsvarende myk kjerne

3 Oppgave 8 Prosessorkjerne Er en prosessor som kan inngå som en del av en FPG Prosessorer i Xilinx FPG er av merke Intel Er en prosessor som kan utføre/eksekvere et program Microlaze er en hard prosessorkjerne Side 3 av 4 Oppgave 9 lock RM (RM) ette er blokker av RM i FPG som kan lagre program RM krever kortere tid for lesing og skriving enn ekstern RM RM som ikke brukes kan fjernes fra FPGen ette er blokker av RM som kan lagre data/variable Oppgave 10 Hvorfor er det gunstig å implementere komplette system med logikk, minne og prosessor på en krets? E Kompakt løsning siden antall kretser blir mindre et er ofte umulig å få tak i løse komponenter Implementering på en FPG gir rom for fleksibel tilpasning av enhetene Mindre tidsforsinkelse mellom enhetene Mindre effektforbruk Oppgave 11 Embedded evelopment Kit (EK) Gjør det mulig å designe med prosessor på FPG et er lettere å bruke ISE og en uavhengig - kompilator for design med prosessor On-chip Peripheral us (OP) kan inngå i design EK håndterer ikke bruk av RM E EK håndterer bruk av virtuelle komponter/ip er Oppgave 12 Ulemper ved lavnivådesignspråk som VHL i forhold til bruk av høynivåspråk for maskinvaredesign. Vanskelig å definere detaljer i koden Mer regnekrevende å simulere Språk er mindre egnet til design av både maskinvare og programvare (HW/SW co-design) Tidkrevende å designe maskinvare med Oppgave 13 Hvorfor kan et Koden kan implementeres på høyt abstraksjonsnivå /++ språk som er Simulering blir grundig, selv om den tar lang tid minimalt utvidet være gunstig for maskinvaredesign? Flere forskjellige implementeringer kan effektivt evalueres Kode er uavhengig av målplattform

4 Oppgave 14 esign med SI og FPG En trenger å ta mindre hensyn til måten å skrive kode på ved FPG design et er begrenset hvor mange adskilte klokkerlinjer (klokkedomener) en kan ha i en FPG i forhold til i en SI FPG er ikke egnet til asynkron logikk En kan flytte design både fra FPG til SI og motsatt Side 4 av 4 Oppgave 15 I denne oppgaven skal det konstrueres en tilstandsmaskin som styrer en kaffeautomat. En kopp koster kr. 10,- og det serveres kun svart kaffe. utomaten tar kun 5 og 10 kronersmynter. utomaten innholder en sensor som finner ut at en mynt er puttet på (oin) og hvilke myntsort dette er. lle signalene fra sensoren er aktivt høye i en klokkeperiode. I klokkeperioden som følger like etter at oin har vært aktiv, indikerer signalene Five og Ten hvilken myntsort som er lagt på. Puttes det på for mye penger eller feil myntsort, gis alle pålagte penger i retur ved at signalet oinack går aktivt i en klokkeperiode. Når korrekt sum er lagt på, serveres kaffen ved at signalet Serveoffee går aktivt i en klokkeperiode. Spesifiser eventuelt egne forutsetninger du gjør utover oppgaveteksten. I tilstandsmaskinen skal du benytte følgende entitet: Entity offeemachine is Port ( LK : in std_logic; RESET : in std_logic; oin : in std_logic; Five : in std_logic; Ten : in Std_logic; oinack : out std_logic; Serveoffee: out std_logic ); end offeemachine; --Klokke --synkron reset --Mynt er puttet på --Fem kroner --Ti kroner --Gir tilbake alle penger --Serverer kaffe a) Vekt 20% Lag et SM flytdiagram som beskriver tilstandsmaskinen. b) Vekt 25% Implementer tilstandsmaskinen fra a) ved bruk av VHL. c) Vekt 10% Hvordan vil du i hovedtrekk gå fram for å simulere tilstandsmaskinen i b). et er ikke noe krav om å skrive VHL-kode. c) Vekt 5% Er implementasjonen din i b) en Mealy eller Moore maskin? egrunn svaret.

5 INF3430/INF4430 Oppgavesvar for kandidat nr: Vedlegg (side 1 av 1) Oppgave E

6 Side 1 av 7 UNIVERSITETET I OSLO et matematisk-naturvitenskapelige fakultet Eksamen i: INF3430/INF4430 igital systemkonstruksjon Eksamensdag: 6. desember 2006 Tid for eksamen: 9-12 Oppgavesettet er på 7 sider Vedlegg: 1 Tillatte hjelpemidler: Ingen Kontroller at oppgavesettet er komplett før du begynner å besvare spørsmålene. Oppgaveteksten består av oppgave 1 14 (flervalgsoppgaver) som skal besvares på skjemaet som er vedlagt etter oppgaveteksten og oppgave 15 som besvares på vanlige ark. Oppgave 1-14 har til sammen vekt på 40%, mens oppgave 15 har vekt på 60%. Generelt for oppgave 1-14: Hver oppgave består av et tema i venstre kolonne og en del utsagn hver angitt med en stor bokstav. Oppgavene besvares ved å merke tydelige kryss (X) i rett kolonne for riktig svaralternativ (dvs. at et utsagn er sant) i skjemaet i vedlegget. et er alltid minst en riktig avmerking for hver oppgave, men det er ofte flere riktige avmerkninger. For å få best karakter skal man sette flere kryss i en oppgave hvis det er flere riktige utsagn. et gis 2 poeng for hver avkrysning der det skal være avkrysning. et gis -1 poeng for hver avkrysning der det ikke skal være avkrysning. Mangel på kryss der det skal være kryss gir også -1 poeng. u kan benytte høyre kolonne i oppgaveteksten til kladd. Skjema påført ditt kandidatnummer i vedlegget er din besvarelse. Oppgave 1 Kretsteknologier FPG har normalt mindre logikk enn en PL FPG er mer praktisk å programmere enn (S)PL eller i PL har mye til felles med PL PL har eksistert lenger enn SPL

7 Oppgave 2 Lagringsteknologi E ntifuse-teknologien baserer seg på å opprette forbindelser når en krets programmeres EPROM er basert på å lagre ladning på en floating gate i en transistor SRM er velegnet til permanent lagring Flash teknologien er en videreutvikling av (E)EPROM Reprogrammeringstiden for SRM er lenger enn for Flash/EPROM Side 2 av 7 Oppgave 3 Programmeringsteknologier for programmerbar logikk E En krets basert på Flash krever ekstern rekonfigureringsfil ved oppstart ntifuse bruker lite effekt (i et system i drift) FPG med antifuse-teknologi egner seg godt til prototyping En krets basert på Flash er umiddelbart aktiv etter strømtilkobling SRM-teknologi er vel så motstandsdyktig mot stråling som antifuse Oppgave 4 Størrelse på FPG logikkblokker En finkornet (fine grained) FPG-blokk kan kun realisere enkle funksjoner Fordelen med finkornede blokker er at rutingressursene som kreves blir begrenset rukeren konfigurerer en gitt FPG til å enten være grovkornet eller finkornet Utfordringene med grovkornede (coarse grained) blokker er å utnytte dem fullt ut Oppgave 5 Klokkestyring Klokkesignal brukes normalt ikke i et synkront design med flip-floper Klokketre skal begrense at klokkeflanker ankommer til forskjellig tid rundt i en krets lock managers kan generere klokker med forskjellig frekvens En ulempe med lock managers er at problemet med jitter øker Oppgave 6 En 3-input Xilinx N funksjon LUT (look-up table) OR funksjon med innhold FE NN funksjon (hex) realiserer en NOR funksjon

8 Oppgave 7 ()synkront design I et synkront design klokkes normalt alle flip-floper med samme klokkesignal Problemet med asynkron logikk er at spesifikasjon av timing blir vanskelig og uforutsigbar Innføring av ekstra flip-floper for synkronisering bør unngås i design et er ingen ulemper med å kombinere synkron og asynkron styring (set/reset) av en flip-flop med hensyn på forbruk av ressurser i en FPG Side 3 av 7 Oppgave 8 Verifikasjon Hendelsebasert (event driven) simulering er normalt uten timinginformasjon I statisk timinganalyse modelleres normalt alle porter med lik tidsforsinkelse Formell verifikasjon kan finne andre feil enn de som finnes ved simulering esign beskrevet i høynivåspråk gir raskere simulering enn for tilsvarende beskrivelse i lavnivåspråk Oppgave 9 Syntese Mengden logikk og forbindelseslinjer mellom flipfloper i et design påvirker hva som blir maksimal klokkefrekvens Endring av hvilke flip-floper som benyttes i en FPG kan påvirke maksimal klokkehastighet til et design en viktigste grunnen til å justere på plassering av et design i en FPG er å minske størrelsen på designet Oppgave 10 Myke og harde prosessorkjerner E Samme type prosessor finnes både som myk og hard kjerne til Xilinx FPG-er En myk kjerne er raskere (høyere klokkefrekvens) enn en hard kjerne En myk kjerne er ikke så plasseffektiv som en hard kjerne EK kan benyttes til design med prosessorkjerner Microlaze er eksempel på en hard kjerne

9 Oppgave 11 Virtuelle komponenter/ Intellectual Properties Intellectual Properties (IP) er betegnelsen på ferdigutviklede blokker IP-er er tilgjengelig både fra FPG produsenter og andre leverandører On-chip Peripheral us (OP) er anvendelig for tilkobling av IP-er i Xilinx FPG-er En IP basert på kildekode gir normalt ikke så effektiv implementering som en forhåndsrutet IP Side 4 av 7 Oppgave 12 esignspråk VHL anses for å være et lavnivå designspråk Ordinære /++ språk egner seg godt til å uttrykke parallelle realiseringer i maskinvare System er basert på /++ SystemVerilog er basert på VHL Oppgave 13 System Språket muliggjør design på forskjellig abstraksjonsnivå Språket er lite egnet til verifisering Syntese basert på System kan gjøres direkte eller ved først å konvertere til VHL Språket egner seg godt til HW/SW codesign Oppgave 14 Høyhastighets serielinker En av ulempene med seriekommunikasjon er at brukerprogrammet må sende/motta ett og ett bit Et differensielt ledningspar er mindre følsomt for støy fra eksterne kilder enn en enkeltleder Grunnen til at en overført firkantpuls ved høy datarate kan bli lik et sinussignal er at lavfrekvent frekvensinnhold er blitt filtrert bort Et innkommende signal samples i senter av hvert bit

10 Oppgave 15 Side 5 av 7 Vi skal i denne oppgaven designe et system som skal kontrollere utskriften av billetter for Oslo Sporveier. lokkskjema for systemet med betjeningspanel ser ut som følger: LK RN/ HONNØR VOKSEN 1 SONE 2 SONER Fra trykknapper (P-Push utton) VRYT RN/HONNØR VOKSEN 1 SONE 2 SONER Trykknapphåndtering Entity PUSH_UTTONS LK RESET HIL_P HIL ULT_P ULT 1_ZONE_P ZONE 2_ZONE_P RST_HOIE HIL ULT ZONE Utskrifttilstandsmaskin Entity TIKET_MSTER LK RESET HIL ULT PRINT_TIKET ZONE PI RST_HOIE PRINT_TIKET Utskriftsenhet LK RESET PRINT_TIKET VRYT etalingsenhet PI PI rukeren velger RN/HONNØR eller VOKSEN, og 1 SONE eller 2 SONER (rekkefølgen er vilkårlig). Entiteten til PUSH_UTTONS er som følger: entity PUSH_UTTONS is port ( LK : in std_logic; -- Klokke RESET : in std_logic; -- synkron reset HIL_P : in std_logic; -- Velger barnebillett ULT_P : in std_logic; -- Velger voksenbillett 1_ZONE_P : in std_logic; -- Velger sone 1 2_ZONE_P : in std_logic; -- Velger sone 2 RST_HOIE : in std_logic; -- Reset fra tilstandsmaskin HIL : out std_logic; -- arnebillett ULT : out std_logic; -- Voksenbillett ZONE : out std_logic_vector(1 downto 0); -- ntall soner ); end entity PUSH_UTTONS; Valg av sone skal enkodes i vektoren ZONE. ZONE skal lagres som en vektor i flip-floper og er tilkoblet de to sonetrykknappene 1 SONE og 2 SONER etter sannhetstabell 1 nedenfor. Signalet RESET er koblet til trykknappen VRYT som er en angreknapp for brukeren. RESET skal kobles til asynkron reset på flip-flopene. Videre er RST_HOIE en synkron reset som genereres av tilstandsmaskinen. I oppgaven er alle enkeltsignaler aktivt høye. Sannhetstabell 1. LK RESET RST_HOIE 1_ZONE_P 2_ZONE_P ZONE (1 SONE) (2 SONER) er don t care

11 Side 6 av 7 Signalene HIL og ULT kan antas allerede lagret i flip-floper og disse er avledet fra trykknappene RN/HONNØR og VOKSEN på billettautomaten på en slik måte at de ikke kan være aktive samtidig. Spesifiser eventuelt egne forutsetninger du gjør utover oppgaveteksten. a) Vekt 10% Implementer sannhetstabell 1 ved å benytte en prosess i VHL. Tilstandsmaskinen TIKET_MSTER skal ha følgende entitet: entity TIKET_MSTER is port ( LK : in std_logic; -- Klokke RESET : in std_logic; -- synkron reset HIL : in std_logic; -- Velger barnebillett ULT : in std_logic; -- Velger voksenbillett ZONE : in std_logic_vector(1 downto 0); -- ntall soner PI : in std_logic; -- etaling i orden, start utskrift PRINT_TIKET RST_HOIE ); end entity TIKET_MSTER; : out std_logic_vector(2 downto 0); -- Printkommando : out std_logic -- Nullstiller alle valg etter at -- utskrift er startet Man er nødt til å velge RN/VOKSEN og antall soner før man betaler. Etter at gyldig betaling er mottatt går signalet PI aktivt i en klokkeperiode. (utomaten veksler, men dette er styrt av en annen tilstandsmaskin (etalingsenhet). Samme tilstandsmaskin gir også pengene i retur dersom valg ikke er foretatt på automaten før penger puttes på.) PRINT_TIKET signalet er en 3-bits vektor kodet etter sannhetstabell 2 nedenfor. PRINT_TIKET skal være aktiv (ulik 000 ) en klokkeperiode og følger etter at PI har vært aktiv. PRINT_TIKET brukes som et startsignal for billettutskrift. Signalet RST_HOIE er aktivt ( 1 ) i klokkeperioden etter PRINT_TIKET signalet har vært aktiv og brukes for å nullstille HIL, ULT og ZONE (som er lagret i flip-floper). Sannhetstabell 2. Inputs Outputs ULT HIL ZONE PRINT_TIKET

12 Side 7 av 7 Timingdiagrammet nedenfor illustrerer virkemåten til tilstandsmaskinen (basert på spesifikasjonen over): Figur 1. Eksempel på timingdiagram over tilstandsmaskinen b) Vekt 20% Tegn et SM diagram for å beskrive tilstandsmaskinen TIKET_MSTER. c) Vekt 20% Implementer tilstandsmaskinen du beskrev i b) ved bruk av VHL. d) Vekt 10% Skisser blokkskjema (struktur) for hvordan tilstandsmaskinen bør testes.

13 Vedlegg Side 8 av 17 INF3430/INF4430 Oppgavesvar for kandidat nr: Oppgave E

14 Side 1 av 8 UNIVERSITETET I OSLO et matematisk-naturvitenskapelige fakultet Eksamen i: INF3430/INF4430 igital systemkonstruksjon Eksamensdag: 6. desember 2007 Tid for eksamen: 9-12 Oppgavesettet er på 8 sider Vedlegg: 1 Tillatte hjelpemidler: Ingen Kontroller at oppgavesettet er komplett før du begynner å besvare spørsmålene. Oppgaveteksten består av oppgave 1 14 (flervalgsoppgaver) som skal besvares på skjemaet som er vedlagt etter oppgaveteksten og oppgave 15 som besvares på vanlige ark. Oppgave 1-14 har til sammen vekt på 40%, mens oppgave 15 har vekt på 60%. Generelt for oppgave 1-14: Hver oppgave består av et tema i venstre kolonne og en del utsagn hver angitt med en stor bokstav. Oppgavene besvares ved å merke tydelige kryss (X) i rett kolonne for riktig svaralternativ (dvs. at et utsagn er sant) i skjemaet i vedlegget. et er alltid minst en riktig avmerking for hver oppgave, men det er ofte flere riktige avmerkninger. For å få best karakter skal man sette flere kryss i en oppgave hvis det er flere riktige utsagn. et gis 1 poeng for hver avkrysning der det skal være avkrysning. et gis -1 poeng for hver avkrysning der det ikke skal være avkrysning. Mangel på kryss der det skal være kryss gir også -1 poeng. u kan benytte høyre kolonne i oppgaveteksten til kladd. Skjema påført ditt kandidatnummer i vedlegget er din besvarelse. Oppgave 1 Kretsteknologier En logikkblokk i en FPG består normalt av en Look-Up Table (LUT) etterfulgt av en vippe (flipflop) En PL består av OR-porter etterfulgt av Nporter I en PL er tilkoblingene til N-portene ikke programmerbare I en full custom SI har designeren full kontroll over hvert maskelag i kretsen

15 Oppgave 2 Lagringsteknologi E I en PL lagres normalt konfigurasjonen i SRM En FPG basert på antifuse-teknologi er ikke reprogrammerbar En FPG basert på antifuse-teknologi kan ikke slettes med UV-lys En EPROM kan slette sitt innhold med en høy spenning En SRM kan kun programmeres et begrenset antall ganger Side 2 av 8 Oppgave 3 Konfigurasjon av FPG En FPG i master-modus styrer selv nedlastning av konfigurasjonen ved oppstart aisy-chaining gjør at flere FPG-er kan ha et felles konfigurasjonsminne En FPG må alltid konfigureres parallelt hvis den er i slave-modus JTG-porten er egentlig tiltenkt testing men kan også brukes til konfigurasjon Oppgave 4 Optimalisert FPG design E Selv om antall input til en funksjon er konstant, øker forbruket av logikk med kompleksiteten til funksjonen ntall nivåer med logikk i en FPG mellom klokkede vipper har betydning for maksimal klokkefrekvensen Klokketre i en FPG bør unngåes hvis en skal lage et effektivt synkront design edikert mentelogikk kobler sammen logikk for hurtig menteforplantning ruk av dedikert mentelogikk gjør at det blir mindre tilgjengelig logikk i FPG-en og bruken bør derfor begrenses Oppgave 5 En 3-input Xilinx N funksjon LUT (look-up table) OR funksjon med innhold 7F NN funksjon (hex) realiserer en NOR funksjon

16 Oppgave 6 Prosessorkjerner En hard kjerne er implementert fysisk i FPG-en ved produksjon av kretsen Kombinasjon av prosessor og logikk på en FPG gir liten fleksibilitet i bestemmelsen av hva som blir programvare og hva som blir maskinvare Separat buss mellom prosessor og minne gir lite gevinst og bør unngåes Integrering av et helt system på en krets gir en mer kompakt løsning som også prismessig kan være gunstig Side 3 av 8 Oppgave 7 Virtuelle komponenter/ Intellectual Property En IP gitt som ikke-kryptert kildekode er normalt mer effektiv enn en IP gitt som forhåndsrutet IP Intellectual Property er betegnelsen på ferdigutviklede blokker Microlaze er eksempel på en IP et er enkelt å gjenbruke en IP fra en FPGprodusent på kretser fra andre produsenter Oppgave 8 Sykelbasert simulering ette er et alternativ til hendelsesbasert simulering En dropper å simulere hver hendelse i en krets men benytter boolske uttrykk på inngangene til registre Metoden kan kombineres med hendelsesdrevet simulering for simulering av en krets En ulempe, sammenlignet med alternative måter å simulere på, er at tiden for simulering øker betydelig Oppgave 9 Syntese Syntese gjøres normalt etter place-and-route Syntese med informasjon om faktiske tidsforsinkelser i FPG-en kan gi høyere maksimal klokkefrekvens Plassering av registre (vipper) i forhold til logikk har normalt ingen betydning for ytelsen Resyntese for optimalisering av kritisk signalvei kan være gunstig Oppgave 10 System E Språket er definert av en spesifikk verktøyleverandør som selger designverktøy Språket er basert på /++ Språket er bedre egnet til verifikasjon enn syntese Språket kan spesifisere kode på flere abstraksjonsnivåer enn VHL System brukes i dag like ofte som VHL for FPG design

17 Side 4 av 8 Oppgave 11 Kodestil for FPG og SI Samlebåndsprosessering (pipelining) kan være med på å øke maksimal klokkefrekvens i et design Samlebåndsprosessering (pipelining) vil ofte medføre at en bruker færre vipper i et design Tilbakekoblingssløyfer der vipper inngår må ikke brukes i en FPG synkront design er mulig i en SI, men anbefales ikke i en FPG Oppgave 12 Valg mellom SI og FPG Oppgave 13 Høyhastighets serielinker Oppgave 14 Rekonfigurering av aktiv FPG E FPG er bedre enn SI ved komplekse design et er bedre plass i en SI enn i en FPG når kretsene har omtrent samme fysiske størrelse Prototyping av SI på FPG bør unngåes på grunn av forskjell i kodestil SI har lang utviklingstid men de første kretsene er billige å produsere Grunnen til at en overført firkantpuls ved høy datarate kan bli lik et sinussignal er at høyfrekvent frekvensinnhold har blitt kraftig dempet Konfigurasjon av parametere i transceiver muliggjør design med forskjellige kommunikasjonsstandarder Pre-emphasis motvirker dempning i overført signal omma -tegn brukes for å dele opp lange bitstrenger Virtuell maskinvare er en betegnelse som brukes om denne teknikken Teknikken muliggjør å kunne utføre en større oppgave enn det kretsen tilsynelatende har logikk til Effektforbruket kan ofte øke ved denne metoden Lang rekonfigureringstid er en av hovedutfordringene et vil være ønskelig med denne metoden å rekonfigurere hele kretsen og ikke kun en begrenset del av den

18 Side 5 av 8 Oppgave 15 Vi skal i denne oppgaven konstruere deler av et grensesnitt som skal styre eksternt minne og/eller input/output kretser som er koblet til en FPG. Vi vil referere til dette som I/O-grensesnittet. I/Ogrensesnittet skal være del av en mikrokontroller i FPGen. Figur 1 viser en oversikt over I/O-grensesnittet. e gråskraverte boksene i figuren viser de delene av I/O-grensesnittet vi skal konsentrere oss om i de påfølgende oppgavene. FPG S_EN ddress decoder ns3 ns2 ns1 ns0 I17-0 LO REG ddressregister 15-0 Tri-state buffer O7-0 LO_O_REG ata output register 7-0 I7-0 LO_I_REG ata input register RE WRITE IO-controller Finite State Machine O_EN S_EN LO REG LO_O_REG LO_I_REG nwr nr REY Figur 1. I/O-grensesnittet

19 Side 6 av 8 Tabell 1. Signaler i I/O-grensesnittet Signalnavn eskrivelse Retning Klokke og reset er ikke vist i figur 1 LK 50MHz systemklokke Input til alle registre RESET synkron reset. ktivt høyt Input til alle registre Eksterne signaler: 15-0 dresse signaler Output 7-0 ata signaler Input/Output/Tri-state nsi, i=0,1,2,3 hip select signaler. enyttes for å Output fra adressedekoder adressere eksternt minne eller I/O. ktivt lave nwr Write strobe. ktivt lavt Output fra tilstandsmaskin nr Read strobe. ktivt lavt. Output fra tilstandsmaskin REY Viser om en I/O krets har data klare eller er klar til å ta i mot data. ktivt høyt. enyttes for å forlenge en les eller skriv I/O operasjon ved å sette inn ventetilstander (Wait states). Input til tilstandsmaskin. Interne signaler: RE Starter en leseoperasjon fra I/O Input til tilstandsmaskin grensesnittet. ktivt høyt WRITE Starter en skriveoperasjon til I/O Input til tilstandsmaskin grensesnittet. ktivt høyt I17-0 Interne adressesignaler. Input til adresseregisteret og adressedekoderen O7-0 ata output signaler Input til data output registeret I7-0 ata input signaler Output fra data input registeret S_EN Enabler nsi, i=0,1,2,3 Output fra tilstandsmaskinen LO REG Lagrer adressene I i Output fra tilstandsmaskinen adresseregistret. ktivt høyt LO_O_REG Lagrer output data i data out Output fra tilstandsmaskinen registeret. ktivt høyt O_EN Styrer output tri-state buffer Output fra tilstandsmaskinen LO_I_REG Lagrer input data i data input register. ktivt høyt. Output fra tilstandsmaskinen

20 dressedekoderen "ddress decoder" skal virke i henhold til sannhetstabellen under. Side 7 av 8 Sannhetstabell 1. dressedekoderen Inputs Outputs S_EN ns0 ns1 ns2 ns3 0 X En les eller skriv I/O-operasjon er bygd opp av flere tilstander og starter med at RE- eller WRITEsignalet går aktivt. RE og WRITE kommer fra en tilstandmaskin som eksekverer programmer og er ikke aktive samtidig. Etter at RE/WRITE har vært aktiv skal de interne adressesignalene, I17-0, lagres i adresseregisteret styrt av signalet LO REG føres ut på pinner, mens sammen med S_EN er input til adressedekoderen som gir output i henhold til sannhetstabell 1. Resten av les eller skriv operasjonen skal følge timingdiagram 1 under. Legg merke til at en les eller skriv operasjon forlenges dersom REY-signalet er lavt når nr eller nwr er aktivt. enytt signalnavn som angitt over når du løser de etterfølgende oppgavene. Timingdiagram 1

21 Side 8 av 8 15a). Vekt 10% Implementer sannhetstabell 1 ved å benytte en process i VHL. u trenger ikke å ta med entiteten. Vi skal nå designe en tilstandsmaskin IO-controller for å lage kontrollsignalene til I/O grensesnittet. Vi skal begrense oss til kontrollsignalene: LO REG, S_EN, nr og nwr i I/O-grensesnittet. 15b). Vekt 20% Tegn et SM flytdiagram som beskriver tilstandsmaskinen gitt av tekst og timingdiagram over. 15c). Vekt 20% Implementer tilstandsmaskinen beskrevet i SM flytdiagrammet i 15b) i VHL. u trenger ikke å ta med entiteten. 15d). Vekt 10% Skissèr en testbenk for å verifisere tilstandsmaskinen (du skal ikke lage en komplett testbenk).

22 INF3430/INF4430 Oppgavesvar for kandidat nr: Vedlegg Side 9 av 18 Oppgave E

23 INF3430/INF4430 Eksamensfasit H2005, Oppgave 1-14 Oppgave E 1 X X X 2 X X X X 3 X 4 X X 5 X X X 6 X 7 X X 8 X X 9 X X X 10 X X X X 11 X X X 12 X X X 13 X X X 14 X X X

24 Oppgave 15a). SM-flytskjema for kaffemaskinen:

25 Oppgave15b.vhd 1 library IEEE; 2 use IEEE.std_logic_1164.all; 3 use IEEE.std_logic_unsigned.all; 4 5 Entity OFFEEMHINE is 6 Port 7 ( 8 LK : in std_logic; --Klokke 9 RESET : in std_logic; --synkron reset 10 OIN : in std_logic; --Mynt er puttet på 11 FIVE : in std_logic; --Fem kroner 12 TEN : in Std_logic; --Ti kroner 13 OINK : out std_logic; --Gir tilbake alle penger 14 SERVEOFFEE : out std_logic --Serverer kaffe 15 ); 16 end Entity OFFEEMHINE; architecture RTL_OFFEEMHINE of rchitecture RTL_OFFEEMHINE of OF FEEMHINE is efinere tilstander ved å benytte enumerert datatype 22 type OFFEEMHINE_STTES is (ILE_ST,OIN_1ST,FIVE_ST,OIN_2ST,SERVE_S T,OINK_ST); 23 signal URRENT_ST, NEXT_ST : OFFEEMHINE_STTES; begin Tilstandsregister 28 STTE_REG: 29 process(reset,lk) 30 begin 31 if RESET = '1' then 32 URRENT_ST <= ILE_ST; 33 elsif rising_edge(lk) then 34 URRENT_ST <= NEXT_ST; 35 end if; 36 end process; Nestetilstandslogikk og utgangssignaler i 39 --samme kombinatoriske process 40 STTE_OM: 41 process(oin,five,ten,urrent_st) 42 begin 43 OINK <= '0'; 44 SERVEOFFEE <= '0'; 45 case URRENT_ST is 46 when ILE_ST => 47 if OIN = '1' then 48 NEXT_ST <= OIN_1ST; 49 else 50 NEXT_ST <= ILE_ST; 51 end if; 52 when OIN_1ST => 53 if FIVE = '1' then 54 NEXT_ST <= FIVE_ST; 55 elsif TEN = '1' then 56 NEXT_ST <= SERVE_ST; 57 else 58 NEXT_ST <= OINK_ST; 59 end if; 1

26 60 when FIVE_ST => 61 if OIN = '1' then 62 NEXT_ST <= OIN_2ST; 63 else 64 NEXT_ST <= FIVE_ST; 65 end if; 66 when OIN_2ST => 67 if FIVE = '1' then 68 NEXT_ST <= SERVE_ST; 69 else 70 NEXT_ST <= OINK_ST; 71 end if; 72 when SERVE_ST => 73 SERVEOFFEE <= '1'; 74 NEXT_ST <= ILE_ST; 75 when OINK_ST => 76 OINK <= '1'; 77 NEXT_ST <= ILE_ST; 78 end case; 79 end process STTE_OM; 80 end architecture RTL_OFFEEMHINE; 81 Oppgave15b.vhd 2

27 Oppgave 15c). For å simulere kretsen i oppgave 15b) må man påtrykke inngangene stimuli og sjekke utgangene. I VHL gjør man dette ved å lage en testbenk. I sin enkleste er den bygd opp på følgende måte 1. En (vanligvis) tom entitet for selve testbenken. vs. testbenken har vanligvis ikke noe interface mot verden utenfor men er selfcontained. 2. Komponentdeklarasjon for UUT (Unit Under Test) 3. eklarsjon av input stimuli signaler 4. efinisjon av klokke 5. Instantiering av UUT 6. Stimuli process der man lager en sekvens av input signaler 7. Sjekker output i Waveform-viewer I mer avanserte testbenker kan man istedenfor stimuliprosessen påtrykke inputstimuli ved å benytte simuleringsmodeller av omkringliggende kretser og instantiere disse i testbenken. Selve testbenken kan bli vesentlig enklere på denne måten. Et annet alternativ er å hente input stimuli fra fil. En mer avansert måte å sjekke korrekt funksjon er å lage en fasit over forventede verdier på utgangene og sammenligne disse med utgangene av UUT. Fasiten kan man lagre i en egen fil eller inni testbenken. På denne måten kan testbenken være selvtestende og man kan slippe å studere timingdiagrammer. Man kan bare rapportere om resultatet er OK eller ikke. Eksemplet nedenfor er et eksempel på en testbenk av den enkleste varianten som inneholder punktene 1-6 over:

28 t_oppgave15b.vhd 1 library IEEE; 2 use IEEE.std_logic_1164.all; 3 use IEEE.std_logic_unsigned.all; 4 5 Entity T_OFFEEMHINE is 6 end T_OFFEEMHINE; 7 8 architecture TEST_OFFEEMHINE of T_OFFEEMHINE is 9 10 omponent OFFEEMHINE is 11 Port 12 ( 13 LK : in std_logic; --Klokke 14 RESET : in std_logic; --synkron reset 15 OIN : in std_logic; --Mynt er puttet på 16 FIVE : in std_logic; --Fem kroner 17 TEN : in Std_logic; --Ti kroner 18 OINK : out std_logic; --Gir tilbake alle penger 19 SERVEOFFEE : out std_logic --Serverer kaffe 20 ); 21 end component OFFEEMHINE; Inngangssignaler 24 signal LK : std_logic := '0'; 25 signal RESET : std_logic := '0'; 26 signal OIN : std_logic := '0'; 27 signal FIVE : std_logic := '0'; 28 signal TEN : std_logic := '0'; Utgangssignaler 31 signal OINK : std_logic; 32 signal SERVEOFFEE : std_logic; constant LK_Period : time:= 20 ns; --50MHz klokke begin Genererer klokke 39 KLOKKE: 40 LK <= not LK after LK_Period/2; Instantierer Unit Under Test 43 UUT: OFFEEMHINE 44 port map 45 ( 46 LK => LK, 47 RESET => RESET, 48 OIN => OIN, 49 FIVE => FIVE, 50 TEN => TEN, 51 OINK => OINK, 52 SERVEOFFEE => SERVEOFFEE 53 ); Genererer input stimuli 56 STIMULI: 57 process 58 begin 59 RESET <= '1','0' after 100 ns; 60 wait for 10*LK_Period; 61 wait until rising_edge(lk); 1

29 62 loop OIN <= '1'; 65 wait for LK_Period; 66 OIN <= '0'; 67 FIVE <= '1'; 68 wait for LK_Period; 69 FIVE <= '0'; 70 wait for LK_Period*5; 71 OIN <= '1'; 72 wait for LK_Period; 73 OIN <= '0'; 74 FIVE <= '1'; 75 wait for LK_Period; 76 FIVE <= '0'; 77 wait for LK_Period*5; Ingen penger tilbake, kaffe serveres 80 OIN <= '1'; 81 wait for LK_Period; 82 OIN <= '0'; 83 TEN <= '1'; 84 wait for LK_Period; 85 TEN <= '0'; 86 wait for LK_Period*5; 87 --Kaffe ventet OIN <= '1'; 90 wait for LK_Period; 91 OIN <= '0'; 92 FIVE <= '1'; 93 wait for LK_Period; 94 FIVE <= '0'; 95 wait for LK_Period*5; 96 OIN <= '1'; 97 wait for LK_Period; 98 OIN <= '0'; 99 TEN <= '1'; 100 wait for LK_Period; 101 TEN <= '0'; 102 wait for LK_Period*5; Pengene tilbake, ingen kaffe OIN <= '1'; 106 wait for LK_Period; 107 OIN <= '0'; 108 wait for LK_Period*5; Ingen gyldige penger, penger tilbake, ingen kaffe 110 end loop; 111 end process STIMULI; end architecture TEST_OFFEEMHINE; 114 t_oppgave15b.vhd 2

30 /t_coffeemachine/clk /t_coffeemachine/reset /t_coffeemachine/coin /t_coffeemachine/five /t_coffeemachine/ten /t_coffeemachine/coinback /t_coffeemachine/servecoffee /t_coffeemachine/uut/current_st idle_st coin_1st five_st coin_2st serve_st idle_st coin_1st serve_st idle_st coin_1st five_st coin_2st coinback_st idle_st coin_1st coinback_st idle_st /t_coffeemachine/uut/next_st idle_st coin_1st five_st coin_2st serve_st idle_st coin_1st serve_st idle_st coin_1st five_st coin_2st coinback_st idle_st coin_1st coinback_st idle_st Entity:t_coffeemachine rchitecture:test_coffeemachine ate: Thu ec 01 22:47:47 Romance Standard Time 2005 Row: 1 Page: 1

31 Oppgave 15d) Tilstandsmaskinen implementert i oppgave 15b) er et eksempel på en Moore-maskin. I en Moore-maskin avhenger utgangene bare av nåværende tilstand i motsetning til en Mealy-maskin der utgangene avhenger av både nåværende tilstand og inngangene.

32 INF3430/INF4430 Fasit eksamen 2006 Oppgave 1-14 Oppgave E 1 O O 2 O O O 3 O O 4 O O 5 O O 6 O 7 O O 8 O O O 9 O O 10 O O 11 O O O O 12 O O 13 O O O 14 O O

33 1 --Oppgave 15a: 2 --============ ZONE_REG: 6 process(reset,lk) 7 begin 8 if RESET = '1' then 9 ZONE <= (others => '0'); 10 elsif rising_edge(lk) then 11 if RST_HOIE = '1' then 12 ZONE <= (others => '0'); 13 elsif 2_ZONE_P = '0' and 1_ZONE_P = '1' then 14 ZONE <= "01"; 15 elsif 2_ZONE_P = '1' and 1_ZONE_P = '0' then 16 ZONE <= "10"; 17 elsif 2_ZONE_P = '1' and 1_ZONE_P = '1' then 18 ZONE <= (others => '0'); 19 end if; 20 end if; 21 end process; 22

34 Oppgave 15b).

35 39 --Oppgave 15c: 40 --============ lternativ 1 og ================= 44 architecture RTL_TIKET_MSTER of TIKET_MSTER is type TIKET_MSTER_STTE is (ILE_ST,HEK_PI_ST,PRINT_TIKET_ST,RST_HOIE_ST ) 47 signal URRENT_ST,NEXT_ST : TIKET_MSTER_STTE; begin Next state and output logic 52 NEXT_STTE_OM: 53 process (HIL,ULT,ZONE,PI,URRENT_ST) 54 begin RST_HOIE <= '0'; 57 PRINT_TIKET <= (others => '0'); 58 NEXT_ST <= ILE_ST; case URRENT_ST is when ILE_ST => 63 --lt 1: 64 --============= 65 --if ZONE /= "00" then if (HIL = '1' or ULT = '1') then NEXT_ST <= HEK_PI_ST; --vi kan benytte if uten else fordi end if; --vi benytter defaultverdier i starten 69 --end if; --av processen lt 2: 72 --============= 73 if HIL = '1' then 74 if ZONE /= "00" then 75 NEXT_ST <= HEK_PI_ST; 76 end if; 77 elsif ULT = '1' then 78 if ZONE /= "00" then 79 NEXT_ST <= HEK_PI_ST; 80 end if; 81 end if; when HEK_PI_ST => 84 if PI = '1' then 85 NEXT_ST <= PRINT_TIKET_ST; 86 else 87 NEXT_ST <= HEK_PI_ST; 88 end if; when PRINT_TIKET_ST => 91 NEXT_ST <= RST_HOIE_ST; 92 PRINT_TIKET <= ULT & ZONE; when RST_HOIE_ST => 95 NEXT_ST <= ILE_ST; 96 RST_HOIE <= '1'; end case; end process NEXT_STTE_OM; URRENT_STTE_REG: 103 process (RESET,LK) 104 begin 105 if RESET = '1' then 106 URRENT_ST <= ILE_ST; 107 elsif rising_edge (LK) then 108 URRENT_ST <= NEXT_ST;

36 109 end if; 110 end process URRENT_STTE_REG; end architecture RTL_TIKET_MSTER; lternativ 3: ============= 117 architecture RTL_TIKET_MSTER of TIKET_MSTER is type TIKET_MSTER_STTE is (ILE_ST,PRINT_TIKET_ST,RST_HOIE_ST) 120 signal URRENT_ST,NEXT_ST : TIKET_MSTER_STTE; begin Next state and output logic 125 NEXT_STTE_OM: 126 process (PI,URRENT_ST) 127 begin RST_HOIE <= '0'; 130 PRINT_TIKET <= (others => '0'); case URRENT_ST is when ILE_ST => 135 if PI = '1' then --ntar at HIL/ULT og ZONE er aktivert 136 NEXT_ST <= PRINT_TIKET_ST; --før PI kan gå aktivt 137 else --Mefører at disse ikke er nødvendige på 138 NEXT_ST <= ILE_ST; --sensitivitetslisten 139 end if; when PRINT_TIKET_ST => 142 NEXT_ST <= RST_HOIE_ST; 143 PRINT_TIKET <= ULT & ZONE; --Legg merke til enkel sammenheng i sannhetstabell when RST_HOIE_ST => 146 NEXT_ST <= ILE_ST; 147 RST_HOIE <= '1'; end case; end process NEXT_STTE_OM; URRENT_STTE_REG: 154 process (RESET,LK) 155 begin 156 if RESET = '1' then 157 URRENT_ST <= ILE_ST; 158 elsif rising_edge (LK) then 159 URRENT_ST <= NEXT_ST; 160 end if; 161 end process URRENT_STTE_REG; end architecture RTL_TIKET_MSTER;

37 Oppgave 15d). For å simulere kretsen i oppgave 15c) må man påtrykke inngangene stimuli og sjekke utgangene. I VHL gjør man dette ved å lage en testbenk. I sin enkleste er den bygd opp på følgende måte 1. En (vanligvis) tom entitet for selve testbenken. vs. testbenken har vanligvis ikke noe interface mot verden utenfor men er selfcontained. 2. Komponentdeklarasjon for UUT (Unit Under Test) 3. eklarsjon av input stimuli signaler 4. efinisjon av klokke 5. Instantiering av UUT 6. Stimuli process der man lager en sekvens av input signaler 7. Sjekker output i Waveform-viewer I mer avanserte testbenker kan man istedenfor stimuliprosessen påtrykke inputstimuli ved å benytte simuleringsmodeller av omkringliggende kretser og instantiere disse i testbenken. Selve testbenken kan bli vesentlig enklere på denne måten. Et annet alternativ er å hente input stimuli fra fil. En mer avansert måte å sjekke korrekt funksjon er å lage en fasit over forventede verdier på utgangene og sammenligne disse med utgangene av UUT. Fasiten kan man lagre i en egen fil eller inni testbenken. På denne måten kan testbenken være selvtestende og man kan slippe å studere timingdiagrammer. Man kan bare rapportere om resultatet er OK eller ikke.

38 INF3430/INF4430 Fasit eksamen Høst 2007 Oppgave 1 14 Oppgave E O O O O O O O O O O O O O O O O O O O O O O O O O O O O O O O O

39 Oppgave 15. a).

40 b). lternativ lternativ

41 c).

42

43 d). For å simulere kretsen i oppgave 15c) må man påtrykke inngangene stimuli og sjekke utgangene. I VHL gjør man dette ved å lage en testbenk. I sin enkleste er den bygd opp på følgende måte 1. En (vanligvis) tom entitet for selve testbenken. vs. testbenken har vanligvis ikke noe interface mot verden utenfor men er selfcontained. 2. Komponentdeklarasjon for UUT (Unit Under Test) 3. eklarsjon av input stimuli signaler 4. efinisjon av klokke 5. Instantiering av UUT 6. Stimuli process der man lager en sekvens av input signaler 7. Sjekker output i Waveform-viewer I mer avanserte testbenker kan man istedenfor stimuliprosessen påtrykke inputstimuli ved å benytte simuleringsmodeller av omkringliggende kretser og instantiere disse i testbenken. Selve testbenken kan bli vesentlig enklere på denne måten. Et annet alternativ er å hente input stimuli fra fil. En mer avansert måte å sjekke korrekt funksjon er å lage en fasit over forventede verdier på utgangene og sammenligne disse med utgangene av UUT. Fasiten kan man lagre i en egen fil eller inni testbenken. På denne måten kan testbenken være selvtestende og man kan slippe å studere timingdiagrammer. Man kan bare rapportere om resultatet er OK eller ikke.

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO Side 1 av 7 UNIVERSITETET I OSLO et matematisk-naturvitenskapelige fakultet Eksamen i: INF3430/INF4430 igital systemkonstruksjon Eksamensdag: 6. desember 2006 Tid for eksamen: 9-12 Oppgavesettet er på

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO Side 1 av 8 UNIVERSITETET I OSLO et matematisk-naturvitenskapelige fakultet Eksamen i: INF3430/INF4430 igital systemkonstruksjon Eksamensdag: 6. desember 2007 Tid for eksamen: 9-12 Oppgavesettet er på

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO Side 1 av 4 UNIVERSITETET I OSLO et matematisk-naturvitenskapelige fakultet Eksamen i: INF3430/INF4430 igital systemkonstruksjon Eksamensdag: 30. november 2005 Tid for eksamen: 9-12 Oppgavesettet er på

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO Side 1 av 4 UNIVERSITETET I OSLO et matematisk-naturvitenskapelige fakultet Eksamen i: INF3430/INF4430 igital systemkonstruksjon Eksamensdag: 30. november 2005 Tid for eksamen: 9-12 Oppgavesettet er på

Detaljer

Tilstandsmaskiner (FSM) Kapittel 5

Tilstandsmaskiner (FSM) Kapittel 5 Tilstandsmaskiner (FSM) Kapittel 5 1) Sette opp tilstandsdiagram Tradisjonell konstruksjonsmetode 2) Sette opp tilstandstabell ut fra tilstandsdiagrammet Nåværende tilstand (PS) og input Neste tilstand

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO Side 1 av 4 UNIVERSITETET I OSLO et matematisk-naturvitenskapelige fakultet Eksamen i: INF3430/INF4430 igital systemkonstruksjon Eksamensdag: 30. november 2005 Tid for eksamen: 9-12 Oppgavesettet er på

Detaljer

INF3430/4431. VHDL byggeblokker og testbenker

INF3430/4431. VHDL byggeblokker og testbenker INF3430/4431 VHDL byggeblokker og testbenker Entity/architecture Innhold Strukturelle design (nettliste) Generics Configurations Operatorer-Operator prioritet (precedence) Datatyper Bit / IEEE1164 std_ulogic

Detaljer

Synkron logikk. Sekvensiell logikk; to typer:

Synkron logikk. Sekvensiell logikk; to typer: Sekvensiell logikk De fleste digitale systemer har også minneelementer (f.eks flipflopper) i tillegg til kombinatorisk logikk, og kalles da sekvensiell logikk Output i en sekvensiell krets er avhengig

Detaljer

Det matematisk-naturvitenskapelige fakultet. INF4431 Digital systemkonstruksjon

Det matematisk-naturvitenskapelige fakultet. INF4431 Digital systemkonstruksjon Side 1 UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF4431 Digital systemkonstruksjon Eksamensdag: 7. desember 2011 Tid for eksamen: 9-13 Oppgavesettet er på 11 sider Vedlegg:

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO Eksamen i: UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet INF1400 Digital teknologi Eksamensdag: 29. november 2011 Tid for eksamen: Vedlegg: Tillatte hjelpemidler: Oppgavesettet er på

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO et matematisk-naturvitenskapelige fakultet Eksamen i: INF1400 igital teknologi Eksamensdag: 3. desember 2008 Tid for eksamen: 14:30 17:30 Oppgavesettet er på 5 sider Vedlegg: 1 Tillatte

Detaljer

INF3430. Fasit eksamen Høst 2009. Oppgave 1 6. Oppgave A B C D E 1 X X 2 X 3 X X 4 X X 5 X X 6 X

INF3430. Fasit eksamen Høst 2009. Oppgave 1 6. Oppgave A B C D E 1 X X 2 X 3 X X 4 X X 5 X X 6 X INF3430. Fasit eksamen Høst 2009. Oppgave 1 6. Oppgave A B C D E 1 X X 2 X 3 X X 4 X X 5 X X 6 X INF3430 Eksamen H09 VHDL besvarelse Oppgave 7: signal_values INF3430 - H09 1 INF3430 Eksamen H09 VHDL besvarelse

Detaljer

MAX MIN RESET. 7 Data Inn Data Ut. Load

MAX MIN RESET. 7 Data Inn Data Ut. Load UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i IN 240 çç Digital Systemkonstruksjon Eksamensdag: 6. desember 2000 Tid for eksamen: 9.00 ç 15.00 Oppgavesettet er p 5 sider. Vedlegg:

Detaljer

INF3340/4431. Tilstandsmaskiner

INF3340/4431. Tilstandsmaskiner INF3340/4431 Tilstandsmaskiner Innhold Tilstandsmaskiner Mealy og Moore maskiner SM tilstandsdiagrammer Syntese av SM diagrammer Tilstandskoding Implementasjon ved bruk av VHDL Eksempler INF3430/4431 -

Detaljer

INF3430. VHDL byggeblokker og testbenker

INF3430. VHDL byggeblokker og testbenker INF3430 VHDL byggeblokker og Innhold Entity/architecture Strukturelle design (nettliste) Generics Configurations Operatorer-Operator prioritet (precedence) Datatyper Bit / IEEE1164 std_ulogic /std_logic

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO Side 1 av 4 UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF3430/INF4430 Digital systemkonstruksjon Eksamensdag: 30. november 2005 Tid for eksamen: 9-12 Oppgavesettet er

Detaljer

Høgskolen i Sør-Trøndelag Avdeling for teknologi

Høgskolen i Sør-Trøndelag Avdeling for teknologi Høgskolen i Sør-Trøndelag Avdeling for teknologi Eksamensdato: 3. desember 2010 Program for elektro- og datateknikk Varighet: Emnekode: Emnenavn: 5 timer EDT304T Digital Systemkonstruksjon Studiepoeng:

Detaljer

Oppgave 1 En 4-input Xilinx LUT med innhold 9009 (hex) realiserer en: A xor-xor-or B xor-xor-nand C xor-xor-nor D xor-xor-and E xor-xor-xor

Oppgave 1 En 4-input Xilinx LUT med innhold 9009 (hex) realiserer en: A xor-xor-or B xor-xor-nand C xor-xor-nor D xor-xor-and E xor-xor-xor Oppgave 1 En 4-input Xilinx LU med innhold 9009 (hex) realiserer en: Oppgave 2 PGA-teknologi A xor-xor-or B xor-xor-nand C xor-xor-nor D xor-xor-and E xor-xor-xor A orbindslinjer mellom LU er har vanligvis

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Program for elektro- og datateknikk Kandidatnr: Eksamensdato: Lengd/eksamenstid: Emnekode: Emnenamn: Klasse: Studiepoeng: Faglerar: Forslag på svar for

Detaljer

Design med ASIC og FPGA (Max kap.7 og 18)

Design med ASIC og FPGA (Max kap.7 og 18) Design med ASIC og FPGA (Max kap.7 og 18) Innhold: Begrensninger/muligheter å ta hensyn til ved FPGA design som en normalt slipper å tenke på med ASIC design. Migrering mellom FPGA og ASIC INF3430 - H10

Detaljer

INF 3430/4430. Simuleringsmetodikk

INF 3430/4430. Simuleringsmetodikk INF 3430/4430 Simuleringsmetodikk 02.11.2005 Agenda Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Verifikasjon av syntetisert/plassert design mot RTL-kode Fil-operasjoner

Detaljer

Fys 3270/4270 høsten Laboppgave 2: Grunnleggende VHDL programmering. Styring av testkortets IO enheter.

Fys 3270/4270 høsten Laboppgave 2: Grunnleggende VHDL programmering. Styring av testkortets IO enheter. Fys 3270/4270 høsten 2004 Laboppgave 2: Grunnleggende VHDL programmering. Styring av testkortets IO enheter. Innledning. Målet med denne laboppgaven er at dere skal lære å lage enkle hardware beskrivelser

Detaljer

TELE2010A Digital Systemkonstruksjon

TELE2010A Digital Systemkonstruksjon TELE2010A Digital Systemkonstruksjon Øving 3/2015 Del 1, Teller: Husk å arbeide i det lokale arbeidsområdet på disken. Kopier filene til serveren når dere er ferdig for å kunne bruke dem neste gang. max_tall

Detaljer

INF 3430/4431. Simuleringsmetodikk

INF 3430/4431. Simuleringsmetodikk INF 3430/4431 Simuleringsmetodikk Innhold Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Fil-operasjoner Eksempel på SRAM modell og simulering av lesing fra denne INF3430/4431

Detaljer

INF 3430/4430. Simuleringsmetodikk

INF 3430/4430. Simuleringsmetodikk INF 3430/4430 Simuleringsmetodikk Innhold Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Fil-operasjoner Eksempel på SRAM modell og simulering av lesing fra denne INF3430 Side

Detaljer

Avanserte byggeblokker (Maxfield kap.13 og 17)

Avanserte byggeblokker (Maxfield kap.13 og 17) Avanserte byggeblokker (Maxfield kap.13 og 17) Innhold: Kap 13: Embedded prosessorer (prosessorkjerner) Kap 17: Virtuelle komponenter (Intellectual Properties - IPs) INF3430 - H11 1 Organisering av kretskort

Detaljer

Kombinatorisk og synkron logikk. Kapittel 4

Kombinatorisk og synkron logikk. Kapittel 4 Kombinatorisk og synkron logikk Kapittel 4 Eksempel; FIFO First-In-First-Out Eksempelet i boka er en noe redusert fifo (mangler empty flag, full flag osv.), men har de viktigste elementene Denne FIFOen

Detaljer

Store design. Kapittel 6

Store design. Kapittel 6 Store design Kapittel 6 Hierarki hvorfor bruke det Dele opp designet i håndterbare designenheter. Fokusere på mindre, håndterbare enheter vil føre til færre feil og raskere debugging av feil. Verifisere

Detaljer

Dagens tema. Dagens tema hentes fra kapittel 3 i Computer Organisation and Architecture. Sekvensiell logikk. Flip-flop er. Tellere og registre

Dagens tema. Dagens tema hentes fra kapittel 3 i Computer Organisation and Architecture. Sekvensiell logikk. Flip-flop er. Tellere og registre Dagens tema Dagens tema hentes fra kapittel 3 i Computer Organisation and Architecture Sekvensiell logikk Flip-flop er Tellere og registre Design av sekvensielle kretser (Tilstandsdiagram) 1/19 Sekvensiell

Detaljer

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE Emne: Gruppe(r): 2E Eksamensoppgaven består av: ELEKTRONIKK II Antall sider (inkl. forsiden): 4 Emnekode: SO 313E Dato: 5. juni 2003 Antall oppgaver: 8 Faglig

Detaljer

Dagens temaer. Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture. Sekvensiell logikk. Flip-flop er

Dagens temaer. Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture. Sekvensiell logikk. Flip-flop er Dagens temaer Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture Sekvensiell logikk Flip-flop er Design av sekvensielle kretser Tilstandsdiagram Tellere og registre INF2270 1/19

Detaljer

INF3340/4340. Synkrone design Tilstandsmaskiner

INF3340/4340. Synkrone design Tilstandsmaskiner INF3340/4340 Synkrone design Tilstandsmaskiner 18.09.2007 Agenda Tilstandsmaskiner Mealy og Moore maskiner ASM tilstandsdiagrammer Syntese av ASM diagrammer Tilstandskoding Implementasjon ved bruk av VHDL

Detaljer

Design med ASIC og FPGA (Max kap.7 og 18)

Design med ASIC og FPGA (Max kap.7 og 18) Design med ASIC og FPGA (Max kap.7 og 18) Innhold: Begrensninger/muligheter å ta hensyn til ved FPGA design som en normalt slipper å tenke på med ASIC design. Migrering mellom FPGA og ASIC INF3430 - H12

Detaljer

INF3340. Tilstandsmaskiner

INF3340. Tilstandsmaskiner INF3340 Tilstandsmaskiner Innhold Tilstandsmaskiner Mealy og Moore maskiner ASM tilstandsdiagrammer Syntese av ASM diagrammer Tilstandskoding Implementasjon ved bruk av VHDL Eksempler INF3430-Tilstandsmaskiner

Detaljer

INF3430/4430. Grunnleggende VHDL

INF3430/4430. Grunnleggende VHDL INF3430/4430 Grunnleggende VHDL 26.09.2005 20.57 Agenda Entity/architecture Strukturelle design (netlist) Generics Configurations Operatorer-Operator presedence Datatyper Bit / IEEE1164 Std_ulogic /std_logic

Detaljer

INF3430/4430. Grunnleggende VHDL. 11-Sep-06

INF3430/4430. Grunnleggende VHDL. 11-Sep-06 INF3430/4430 Grunnleggende VHDL 11-Sep-06 Agenda Entity/architecture Strukturelle design (netlist) Generics Configurations Operatorer-Operator presedence Datatyper Bit / IEEE1164 Std_ulogic /std_logic

Detaljer

Gruppe(r): 2EY 30.05.02. Eksamenstid, fra-til: 09 00-14 00 Eksamensoppgaven består av. Antall sider: 4 (Inkludert denne)

Gruppe(r): 2EY 30.05.02. Eksamenstid, fra-til: 09 00-14 00 Eksamensoppgaven består av. Antall sider: 4 (Inkludert denne) HØGSKOLEN I OSLO Avdeling for ingeniørutdanning EKSAMENSOPPGAVE Fag: ELEKTRONIKK II Fagnr: SO313E Faglig veileder: K. H. Nygård, V. Tyssø Gruppe(r): 2EY Dato: 30.05.02 Eksamenstid, fra-til: 09 00-14 00

Detaljer

MIK 200 Anvendt signalbehandling, 2012. Lab. 5, brytere, lysdioder og logikk.

MIK 200 Anvendt signalbehandling, 2012. Lab. 5, brytere, lysdioder og logikk. Stavanger, 25. januar 2012 Det teknisknaturvitenskapelige fakultet MIK 200 Anvendt signalbehandling, 2012. Lab. 5, brytere, lysdioder og logikk. Vi skal i denne øvinga se litt på brytere, lysdioder og

Detaljer

Dagens temaer. temaer hentes fra kapittel 3 i Computer Organisation. av sekvensielle kretser. and Architecture. Tilstandsdiagram.

Dagens temaer. temaer hentes fra kapittel 3 i Computer Organisation. av sekvensielle kretser. and Architecture. Tilstandsdiagram. Dagens temaer 1 Dagens Sekvensiell temaer hentes fra kapittel 3 i Computer Organisation and Architecture logikk Flip-flop er Design av sekvensielle kretser Tilstandsdiagram Tellere og registre Sekvensiell

Detaljer

Dagens temaer. Architecture INF ! Dagens temaer hentes fra kapittel 3 i Computer Organisation and

Dagens temaer. Architecture INF ! Dagens temaer hentes fra kapittel 3 i Computer Organisation and Dagens temaer! Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture! Enkoder/demultiplekser (avslutte fra forrige gang)! Kort repetisjon 2-komplements form! Binær addisjon/subtraksjon!

Detaljer

IN1020. Sekvensiell Logikk

IN1020. Sekvensiell Logikk IN12 Sekvensiell Logikk Hovedpunkter Definisjoner Portforsinkelse Praktiske Eksempler Latch SR D Flip-Flop D JK T Tilstandsmaskiner Tilstandsdiagrammer og tilstandstabeller Omid Mirmotahari 2 Definisjoner

Detaljer

En mengde andre typer som DVD, CD, FPGA, Flash, (E)PROM etc. (Kommer. Hukommelse finnes i mange varianter avhengig av hva de skal brukes til:

En mengde andre typer som DVD, CD, FPGA, Flash, (E)PROM etc. (Kommer. Hukommelse finnes i mange varianter avhengig av hva de skal brukes til: 2 Dagens temaer Dagens 4 Sekvensiell temaer hentes fra kapittel 3 i Computer Organisation and Architecture Design Flip-flop er av sekvensielle kretser Tellere Tilstandsdiagram og registre Sekvensiell Hvis

Detaljer

INF 3430/4430. Viktige momenter i syntese og for valg av teknologi

INF 3430/4430. Viktige momenter i syntese og for valg av teknologi INF 3430/4430 Viktige momenter i syntese og for valg av teknologi 17.10.2007 Agenda RTL syntese Constraints Pipelining Syntese for FPGA Behavorial syntese INF3430/4430 Side 2 RTL/ Behavorial syntese RTL

Detaljer

Dagens temaer. Sekvensiell logikk: Kretser med minne. D-flipflop: Forbedring av RS-latch

Dagens temaer. Sekvensiell logikk: Kretser med minne. D-flipflop: Forbedring av RS-latch Dagens temaer Sekvensiell logikk: Kretser med minne RS-latch: Enkleste minnekrets D-flipflop: Forbedring av RS-latch Presentasjon av obligatorisk oppgave (se også oppgaveteksten på hjemmesiden). 9.9.3

Detaljer

INF3430/4431 Høsten Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter

INF3430/4431 Høsten Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter INF343/443 Høsten 2 Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter Innledning. Målene med denne laboppgaven er å lære om subprogrammer og biblioteker i VHDL

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1400 Digital teknologi Eksamensdag: 3. desember 2008 Tid for eksamen: 14:30 17:30 Oppgavesettet er på 5 sider Vedlegg: 1 Tillatte

Detaljer

Det matematisk-naturvitenskapelige fakultet

Det matematisk-naturvitenskapelige fakultet Side 1 UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1400 Eksamensdag: 5/12-2006 Tid for eksamen: 15:30 18:30 Oppgavesettet er på: 5 sider Vedlegg: Ingen Tillatte hjelpemidler:

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1400 Digital teknologi Eksamensdag: 5. desember 2005 Tid for eksamen: 9-12 Vedlegg: Tillatte hjelpemidler: Oppgavesettet er

Detaljer

Entities and architectures. Kapittel 3

Entities and architectures. Kapittel 3 Entities and architectures Kapittel 3 VHDL program Én fil Entities and architectures Entity declaration og architecture body Analogi til en IC: Entiteten beskriver interfacet til omgivelsen (pakkens tilkoblingspinner)

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO Side 1 UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1400 Eksamensdag: Fredag 3. desember Tid for eksamen: kl. 14:30-18:30 (4 timer). Oppgavesettet er på side(r) 7 sider

Detaljer

Digital logic level: Oppsummering

Digital logic level: Oppsummering 1 Digital logic level: Oppsummering 2 Nivå 0: Digtalekretsar Ai Bi Ci-1 Fundamentale komponentar AND, OR, NOT,NAND, NOR XOR porter D-vipper for lagring av ett bit Samansette komponentar Aritmetiske kretsar

Detaljer

INF3430 Høsten ChipScope PRO - En kort innføring

INF3430 Høsten ChipScope PRO - En kort innføring INF3430 Høsten 2008 ChipScope PRO - En kort innføring Innhold Innledning... 3 Generering av Chipscope kjerner... 4 Generering av ICON (Integrated Controller) modul... 4 Generering av ILA (Integrated Logic

Detaljer

SIE 4005, 8/10 (3. Forelesn.)

SIE 4005, 8/10 (3. Forelesn.) SIE 4005, 8/10 (3. Forelesn.) Andre forelesning: litt repetisjon 7.7 Arithmetic / Logic unit 7.8 The Shifter 7.9 Datapath representation 7.10 The control word 7.11 Pipelined datapath Tredje forelesning:

Detaljer

INF3430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer Innhold Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard biblioteker

Detaljer

Versjon2.0/ ChipScope PRO - En kort innføring

Versjon2.0/ ChipScope PRO - En kort innføring Versjon2.0/29.09.2013 ChipScope PRO - En kort innføring Innhold Innledning...3 Generering av Chipscope kjerner...4 Generering av ICON (Integrated Controller) modul...6 Generering av ILA (Integrated Logic

Detaljer

Forelesning 7. Tilstandsmaskin

Forelesning 7. Tilstandsmaskin Forelesning 7 Tilstandsmaskin Hovedpunkter Tilstandsmaskin Tilstandstabell Tilstandsdiagram Analyse av D flip-flop basert tilstandsmaskin Reduksjon av antall tilstander Tilordning av tilstandskoder Designprosedyre

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Side 1 Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1411 Eksamensdag: mandag 3.juni 2013 Tid for eksamen: 14.30-18.30 Oppgavesettet er på 6 sider Vedlegg: Ingen Tillatte

Detaljer

F = a bc + abc + ab c + a b c

F = a bc + abc + ab c + a b c UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i IN 240 Digital Systemkonstruksjon Eksamensdag: 8. desember 1998 Tid for eksamen: 9.00 15.00 Oppgavesettet er på 5 sider. Vedlegg:

Detaljer

1 Vekt 15% 1-a. 1-b. 1-c. 1-d

1 Vekt 15% 1-a. 1-b. 1-c. 1-d UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i IN240Digitalsystemkonstruksjon Eksamensdag: 13. desember 1994 Tidforeksamen: 9.0015.00 Oppgavesettet erpå5sider. Vedlegg: Ingen

Detaljer

Simulering, syntese og verifikasjon (Max kap. 19)

Simulering, syntese og verifikasjon (Max kap. 19) Simulering, syntese og verifikasjon (Max kap. 19) Innhold: Simuleringsmetoder Hendelsesbasert Cyclebasert Plassering av design i FPGA (syntese) Verifikasjon INF3430 - H11 1 Hendelsdrevet simulering 10ps

Detaljer

INF3430/4431. VHDL byggeblokker og testbenker forts.

INF3430/4431. VHDL byggeblokker og testbenker forts. INF3430/4431 VHDL byggeblokker og testbenker forts. Innhold Kombinatoriske kretser forts. Concurrent(dataflow) beskrivelser Beskrivelser ved bruk av process Testbenker for kombinatoriske kretser Stimuli

Detaljer

INF3430/4430. Kombinatoriske og sekvensielle byggeblokker implementert i VHDL :57

INF3430/4430. Kombinatoriske og sekvensielle byggeblokker implementert i VHDL :57 INF3430/4430 Kombinatoriske og sekvensielle byggeblokker implementert i VHDL 26.09.2005 20:57 Agenda Kombinatoriske kretser forts. Concurrent(dataflow) beskrivelser Beskrivelser ved bruk av process Testbenker

Detaljer

LØSNINGSFORSLAG 2006

LØSNINGSFORSLAG 2006 LØSNINGSFORSLAG 2006 Side 1 Oppgave 1), vekt 12.5% 1a) Bruk Karnaughdiagram for å forenkle følgende funksjon: Y = a b c d + a b c d + a b cd + a bc d + a bc d + ab c d + ab cd ab cd 00 01 11 10 00 1 1

Detaljer

Kapittel 5 Tilstandsmaskin

Kapittel 5 Tilstandsmaskin Hovedpunkter Kapittel 5 Tilstandsmaskin Tilstandsmaskin Tilstandstabell Tilstandsdiagram Analyse av D flip-flop basert smaskin Reduksjon av antall er Tilordning av skoder Designprosedyre for smaskin basert

Detaljer

TDT4160 Datamaskiner Grunnkurs 2008. Gunnar Tufte

TDT4160 Datamaskiner Grunnkurs 2008. Gunnar Tufte 1 TDT4160 Datamaskiner Grunnkurs 2008 Gunnar Tufte 2 I dag Kva er inni 8051, P4 og UltraSparc Digital logic level (start kapitel 3) VIKTIG MELDING Alle som har brukt NTNU-passord for AoC pålogging må skifte

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i Eksamensdag: 15. juni 2006 Tid for eksamen: 14.30 17.30 Oppgavesettet er på 7 sider. Vedlegg: INF1070 Datamaskinarkitektur Ingen

Detaljer

Avdeling for ingeniørutdanning Institutt for teknologi

Avdeling for ingeniørutdanning Institutt for teknologi Avdeling for ingeniørutdanning Institutt for teknologi Oppgavetittel: Obligatorisk prosjektoppgave 1 Fag(nr./navn): Maskinvareutvikling DMVA-2060 Gruppemedlemmer: T. Alexander Lystad Faglærer: Zoran Dokic

Detaljer

INF2270. Sekvensiell Logikk

INF2270. Sekvensiell Logikk INF227 Sekvensiell Logikk Hovedpunkter Definisjoner Portforsinkelse Shift register Praktiske Eksempler Latch SR D Flip-Flop D JK T Tilstandsmaskiner Tilstandsdiagrammer Reduksjon av tilstand Ubrukte tilstander

Detaljer

INF3430/4431. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430/4431. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430/4431 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer Innhold Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard biblioteker

Detaljer

INF3430/4431. Viktige momenter i syntese og for valg av teknologi Chipscope

INF3430/4431. Viktige momenter i syntese og for valg av teknologi Chipscope INF3430/4431 Viktige momenter i syntese og for valg av teknologi Chipscope Agenda RTL syntese Constraints Pipelining Syntese for FPGA Chipscope INF3430/4431 2 RTL/ Behavorial syntese RTL (Register Transfer

Detaljer

INF3430/4430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430/4430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430/4430 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer 19.09.2006 Agenda Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard

Detaljer

Oppgave 1 Flervalgsspørsmål ( multiple choice ) 15 %

Oppgave 1 Flervalgsspørsmål ( multiple choice ) 15 % Side 2 av 9 Oppgave 1 Flervalgsspørsmål ( multiple choice ) 15 % Denne oppgaven skal besvares på eget svarark sist i oppgavesettet. Dersom du finner flere alternativer som synes å passe, setter du kryss

Detaljer

AVSLUTTENDE EKSAMEN I. TDT4160 Datamaskiner Grunnkurs. Torsdag 29. November 2007 Kl. 09.00 13.00

AVSLUTTENDE EKSAMEN I. TDT4160 Datamaskiner Grunnkurs. Torsdag 29. November 2007 Kl. 09.00 13.00 Side 1 av 11 NTNU Norges teknisk-naturvitenskapelige universitet BOKMÅL Fakultet for informasjonsteknologi, matematikk og elektroteknikk Institutt for datateknikk og informasjonsvitenskap AVSLUTTENDE EKSAMEN

Detaljer

INF1400. Tilstandsmaskin

INF1400. Tilstandsmaskin INF4 Tilstandsmaskin Hovedpunkter Tilstandsmaskin Tilstandstabell Tilstandsdiagram Analyse av D-flip-flop tilstandsmaskin Reduksjon av antall tilstander Tilordning av tilstandskoder Designprosedyre for

Detaljer

INF3430. VHDL byggeblokker og testbenker forts.

INF3430. VHDL byggeblokker og testbenker forts. INF343 VHDL byggeblokker og testbenker forts. Innhold Kombinatoriske kretser forts. Concurrent(dataflow) beskrivelser Beskrivelser ved bruk av process Testbenker for kombinatoriske kretser Stimuli Sammenligning

Detaljer

INF3430/4431. Kretsteknologier Max. kap. 3

INF3430/4431. Kretsteknologier Max. kap. 3 INF3430/4431 Kretsteknologier Max. kap. 3 Kretsteknologier (Max. kap. 3) Programmerbar logikk kretser (PLD): Simple Programmable Logic Device (SPLD) Complex Programmable Logic Devices (CPLD) Field Programmable

Detaljer

TDT4160 Datamaskiner Grunnkurs 2011. Gunnar Tufte

TDT4160 Datamaskiner Grunnkurs 2011. Gunnar Tufte 1 TDT4160 Datamaskiner Grunnkurs 2011 Gunnar Tufte 2 Kapittel 3: Digital logic level 3 Nivå 0: Digtalekretsar Fundamentale komponentar AND, OR, NOT,NAND, NOR XOR porter D-vipper for lagring av ett bit

Detaljer

Repetisjon digital-teknikk. teknikk,, INF2270

Repetisjon digital-teknikk. teknikk,, INF2270 Repetisjon digital-teknikk teknikk,, INF227 Grovt sett kan digital-teknikk-delen fordeles i tre: Boolsk algebra og digitale kretser Arkitektur (Von Neuman, etc.) Ytelse (Pipelineling, cache, hukommelse,

Detaljer

Dagens temaer. Cache (repetisjon) Cache (repetisjon) Cache (repetisjon)

Dagens temaer. Cache (repetisjon) Cache (repetisjon) Cache (repetisjon) Dagens temaer Cache (repetisjon) Mer om cache-hukommelse (kapittel 6.5 i Computer Organisation and Architecture ) Typer, bruksområder og oppbygging ROM Typer, bruksområder og oppbygging Hukommelsesbusser

Detaljer

INF3430/4431. Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA

INF3430/4431. Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA INF3430/4431 Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA Agenda Hva skal vi gjøre i INF3430/4431? VDHL simulering/syntese Place & Route til FPGA Prøve ut design i ekte hardware Hvorfor VHDL

Detaljer

INF3430/4430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430/4430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430/4430 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer 2005-10-26 Agenda Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard

Detaljer

INF1400. Tilstandsmaskin

INF1400. Tilstandsmaskin INF4 Tilstandsmaskin Hovedpunkter Tilstandsmaskin Tilstandstabell Tilstandsdiagram Analyse av D-flip-flop tilstandsmaskin Reduksjon av antall tilstander Tilordning av tilstandskoder Designprosedyre for

Detaljer

Løsningsforslag INF1400 H04

Løsningsforslag INF1400 H04 Løsningsforslag INF1400 H04 Oppgave 1 Sannhetstabell og forenkling av Boolske uttrykk (vekt 18%) I figuren til høyre er det vist en sannhetstabell med 4 variable A, B, C og D. Finn et forenklet Boolsk

Detaljer

Forelesning 9. Registre, tellere og minne

Forelesning 9. Registre, tellere og minne Forelesning 9 Registre, tellere og minne Registre Tri-state output Shift registre Tellere Binær rippelteller Synkronteller Hovedpunkter registre og tellere 2 Register N bits register - parallellkobling

Detaljer

Oppsummering av digitalteknikkdelen

Oppsummering av digitalteknikkdelen Oppsummering av digitalteknikkdelen! Følgende hovedtemaer er gjennomgått! Boolsk Algebra! von Neuman-arkitektur! Oppbygging av CPU! Pipelining! Cache! Virtuelt minne! Interne busser 09.05. INF 1070 1 Boolsk

Detaljer

, ~', -~ lalle trykte og skrevne hjelpemidler. I Kalkulator som ikke kan kommunisere med andre.

, ~', -~ lalle trykte og skrevne hjelpemidler. I Kalkulator som ikke kan kommunisere med andre. i G h øgskolen i oslo Emne: Datamaskinarkitektur Emnekode:lOl23 Faglig veileder: Lars Kristiansen. Gruppe(r):, ~', -~ Dato:. - - ~ U..) Eksamenstid: Eksamensoppgaven består av: ntall sider (inkl. I forsiden):

Detaljer

SRAM basert FPGA INF H10 1

SRAM basert FPGA INF H10 1 SRAM basert FPGA Prinsipp: SRAM-minne inne i FPGA lagrer kretsens konfigurasjon Fordeler Kan reprogrammeres uendelig mange ganger Plass til mye logikk Kan lett endre funksjonaliteten til systemet Trenger

Detaljer

Dagens temaer. Mer om cache-hukommelse (kapittel 6.5 i Computer Organisation and Architecture ) RAM ROM. Hukommelsesbusser

Dagens temaer. Mer om cache-hukommelse (kapittel 6.5 i Computer Organisation and Architecture ) RAM ROM. Hukommelsesbusser Dagens temaer Mer om cache-hukommelse (kapittel 6.5 i Computer Organisation and Architecture ) RAM Typer, bruksområder og oppbygging ROM Typer, bruksområder og oppbygging Hukommelsesbusser 1 Cache (repetisjon)

Detaljer

INF3430/4431. VHDL byggeblokker og testbenker forts.

INF3430/4431. VHDL byggeblokker og testbenker forts. INF343/4431 VHDL byggeblokker og testbenker forts. Innhold IEEE 1164 std_logic Configurations Kombinatoriske kretser forts. Concurrent(dataflow) beskrivelser Beskrivelser ved bruk av process Testbenker

Detaljer

Datamaskiner og operativsystemer =>Datamaskinorganisering og arkitektur

Datamaskiner og operativsystemer =>Datamaskinorganisering og arkitektur Datamaskiner og operativsystemer =>Datamaskinorganisering og arkitektur Lærebok: Computer organization and architecture/w. Stallings. Avsatt ca 24 timers tid til forelesning. Lærestoffet bygger på begrepsapparat

Detaljer

Eksamen i emne TFE4110 DIGITALTEKNIKK MED KRETSTEKNIKK Fredag 21. mai 2004 Tid. Kl

Eksamen i emne TFE4110 DIGITALTEKNIKK MED KRETSTEKNIKK Fredag 21. mai 2004 Tid. Kl Side av NORGES TEKNSK- NATURVTENSKAPLGE UNVERSTET nstitutt for elektronikk og telekommunikasjon Faglig kontakt under eksamen: Øystein Ellingsson tlf. 95373 Eksamen i emne TFE4 DGTALTEKNKK MED KRETSTEKNKK

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i Eksamensdag: 14. juni 2007 Tid for eksamen: 14.30 17.30 Oppgavesettet er på 9 sider. Vedlegg: INF1070 og INF2270 Datamaskinarkitektur

Detaljer

I dag. Minne typar Minne mot bussar (fysisk grensesnitt generelt) Meir buss

I dag. Minne typar Minne mot bussar (fysisk grensesnitt generelt) Meir buss 1 I dag Minne typar Minne mot bussar (fysisk grensesnitt generelt) Meir buss 2 3 Lagerhierarki 4 Minne type: Aksess 5 Minne type: Aksess Synkron / Asynkron Synkron Inn/ut lesing av data følgjer klokka

Detaljer

Digitalstyring sammendrag

Digitalstyring sammendrag Digitalstyring sammendrag Boolsk algebra A + A = 1 AA = 0 A + A = A AA = A A + 0 = A A 1 = A A + 1 = 1 A 0 = 0 (A ) = A A + B = B + A AB = BA A + (B + C) = (A + B) + C A(BC) = (AB)C A(B + C) = AB + AC

Detaljer

Dagens temaer. Fra kapittel 4 i Computer Organisation and Architecture. Kort om hurtigminne (RAM) Organisering av CPU: von Neuman-modellen

Dagens temaer. Fra kapittel 4 i Computer Organisation and Architecture. Kort om hurtigminne (RAM) Organisering av CPU: von Neuman-modellen Dagens temaer Fra kapittel 4 i Computer Organisation and Architecture Kort om hurtigminne (RAM) Organisering av CPU: von Neuman-modellen Register Transfer Language (RTL) Instruksjonseksekvering Pipelining

Detaljer

EKSAMEN I FAG TFE4101 KRETS- OG DIGITALTEKNIKK

EKSAMEN I FAG TFE4101 KRETS- OG DIGITALTEKNIKK Side 1 av 13 INSTITUTT FOR ELEKTRONIKK OG TELEKOMMUNIKASJON EKSAMEN I FAG TFE4101 KRETS- OG DIGITALTEKNIKK Faglig kontakt: Peter Svensson (1 3.5) / Kjetil Svarstad (3.6 4) Tlf.: 995 72 470 / 458 54 333

Detaljer

Eksamen INF2270 våren 2018

Eksamen INF2270 våren 2018 Generell informasjon Eksamen INF2270 våren 2018 Dette oppgavesettet består av 14 oppgaver som kan løses uavhengig av hverandre. Dersom du synes noe i oppgaveteksten er uklart, må du gjøre dine egne forutsetninger;

Detaljer

TDT4160 AUGUST, 2008, 09:00 13:00

TDT4160 AUGUST, 2008, 09:00 13:00 Norwegian University of Science and Technology Faculty of Information Technology, Mathematics and Electrical Engineering The Department of Computer and Information Science TDT4160 DATAMASKINER GRUNNKURS

Detaljer

Teoretisk minnemodell Flyktig minne - SRAM -DRAM Ikke-flyktig minne -ROM -EPROM - EEPROM Flash

Teoretisk minnemodell Flyktig minne - SRAM -DRAM Ikke-flyktig minne -ROM -EPROM - EEPROM Flash Hovedpunkter Kapittel 7 Minne Teoretisk minnemodell Flyktig minne - SRAM -DRAM Ikke-flyktig minne -ROM -EPROM - EEPROM Flash 2 Minne - generelt Minne teoretisk cellestruktur Generelt minne Hvert bit lagres

Detaljer

7. Hvilket alternativ (A, B eller C) representerer hexadesimaltallet B737 (16) på oktal form?

7. Hvilket alternativ (A, B eller C) representerer hexadesimaltallet B737 (16) på oktal form? Jeg har rettet alle oppgavene og legger ut et revidert løsningsforslag. Noen av besvarelsene var glitrende! 6. Hva er desimalverdien av 0 0000 0000 (2)? Tallet er gitt på toerkomplement binær form. Eneren

Detaljer