Kretsteknologier (Max. kap. 3) Kretsteknologier. Kretsteknologier. Følgende gjelder for alle figurer hentet fra læreboka. Max. kap.

Størrelse: px
Begynne med side:

Download "Kretsteknologier (Max. kap. 3) Kretsteknologier. Kretsteknologier. Følgende gjelder for alle figurer hentet fra læreboka. Max. kap."

Transkript

1 Kretsteknoogier (Max. kap. 3) Kretsteknoogier Max. kap. 3 Programmerbar ogikk kretser (PLD): Simpe Programmabe Logic Device (SPLD) Compex Programmabe Logic Devices (CPLD) Fied Programmabe Gate Array (FPGA) Ikke reprogrammerbare ogikk kretser: Appication-Specific Standard Parts (ASSPs) Appication Specific Integrated Circuit (ASICs) System On Chip (SoC) INF H10 2 Føgende gjeder for ae figurer hentet fra æreboka The Design Warrior s Guide to FPGAs Devices,Toos, and Fows. ISBN Copyright 2004 Mentor Graphics Corp. ( Kretsteknoogier Transistors ICs (Genera) SRAMs DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs INF H10 3 INF H10 4

2 Forskje meom prosessor og programmerbar ogikk Programmerbar ogikk krets (FPGA) En prosessor programmeres med instruksjoner. En programmerbar ogikk krets programmeres med en kretsbeskrivese. En programmerbar krets består av konfigurerbare bokker med ogikk og konfigurerbare forbindesesinjer meom disse bokkene. INF H10 5 INF H10 6 Random-Access Memory (RAM) Lagrer binær informasjon i grupper av bit kat ord (word). Fyktig (voatie): Lagret informasjon forsvinner når spenning skrus av. Typer: Statisk (fip-fop er agrer data) Dynamisk (eektrisk adning på kondensatorer agrer data) Programmabe Read Ony Memory (ROM) Logisk ik organisering som RAM (men uik agringsteknoogi). 1 dekoder (med n innganger og 2 n utganger) + m OR-porter. Kan tradisjonet programmeres kun en gang/permanent agring. Be brukt ti oppstartsprogrammer eer enke ogikk. n adresseinjer 1 dekoder m OR-porter m ordinjer INF H10 7 INF H10 8

3 Programmabe Read Ony Memory Programmabe Logic Array (PLA) Address 0 Address 1 Address 2 Address 3 Address 4 Address 5 Address 6 Address 7 a b c a!a b!b c!c!a!b!c!a!b c!a b!c!a b c a!b!c a!b c a b!c a b c Predefined ink Programmabe ink Programmabe OR array Address 0 Address 1 Address 2 Address 3 Address 4 Address 5 Address 6 Address 7 a b c a!a b!b c!c!a!b!c!a!b c!a b!c!a b c a!b!c a!b c a b!c a b c Predefined ink Programmabe ink Programmabe OR array Gir ikke fu dekoding (har færre enn 2 n mintermer). Anta produktedd må minimaiseres, men ikke anta input variabe. Predefined AND array w x y Predefined AND array w x y w = (a b) x =!(a b) y = (a b) ^ c INF H10 9 INF H10 10 PLA eksempe Programmabe Array Logic (PAL) Programmabe Programmabe OR array a b c a!a b!b c!c a b c a c!b!c Predefined ink Programmabe ink Programmabe OR array Som PLA, men med fast OR array Kan ikke dee produktedd meom fere OR Mindre programmering (kun AND-array) Mindre feksibiitet Re-programmerbare utgaver finnes (GAL) Predefined OR array Predefined AND array w x y Programmabe w = (a c) (!b!c) x = (a b c) (!b!c) INF H10 y = (a b c) 11 INF H10 12

4 Utviking/Størrese Programmerbar ogikk arkitekturer Krets med inn/ut-injer, ogikk, fip-fop er(vipper) og programmerbare forbindesesinjer. Famiier: SPLD - Simpe Programmabe Logic Device CPLD - Compex Programmabe Logic Device FPGA - Fied Programmabe Gate Array CSoC - Configurabe System-on-Chip SPLD - Simpe Programmabe Logic Device Kjennetegn: Minste og biigste typen av programmerbar ogikk. Andre betegneser: PROM (Programmabe Read Ony Memory) PLA (Programmabe Logic Array) PAL (Programmabe Array Logic, Vantis) GAL (Generic Array Logic, Lattice, Lattice) Programmering: Fuses eer ikke-fyktig minne som EPROM, EEPROM eer FLASH. INF H10 13 INF H10 14 PLD programmerer CPLD - Compex Programmabe Logic Device Kjennetegn: En typisk CPLD har 2 ti 64 ganger så mye ogikk som en SPLD. Andre betegneser: EPLD (Erasabe Programmabe Logic Device) PEEL EEPLD (Eectricay-Erasabe Programmabe Logic Device) MAX (Mutipe Array matrix, Atera) Programmering: Ikke-fyktig minne som EPROM, EEPROM eer FLASH (også SRAM er nå tigjengeig). INF H10 15 INF H10 16

5 CPLD FPGA -Fied Programmabe Gate Array Kjennetegn: Tibyr typisk en større mengde ogikk på en krets enn det CPLD gjør. Andre betegneser: LCA (Logic Ce Array) pasic (programmabe ASIC), Cypress FLEX, APEX (Atera) ACT (Acte) ORCA (Lucent) Spartan, Virtex (Xiinx) pasic (QuickLogic) IGLOO (Acte) INF H10 17 Programmering: Statisk minne (SRAM) eer anti-fuse teknoogi (også noen med EEPROM eer FLASH finnes). INF H10 18 FPGA Eksempe på ogikk bokk/cee (FPGA) Kombinatorisk ogikk Sekvensie ogikk INF H10 19 INF H10 20

6 Look-Up Tabe (LUT) Utviking programmerbare kretser 0 0 INF H10 21 INF H10 22 ASIC: Appication Specific Integrated Circuit Når bør en bruke FPGA (CPLD)? Gate Arrays Structured ASICs Standard Ce Increasing design compexity ASIC: Brukerutviket IC Fu Custom Status: Førstevag for digita ogikk design med unntak av: Enke eer tidskritiske design (ASIC/PAL/diskret ogikk bedre) Produkt ska produseres i stort anta (ASIC bedre) Vedig kompekse design (ASIC) Design der minimaisering av effektforbruk er kritisk (mobie appikasjoner) ASIC vs FPGA nye ASIC prosjekter hvert år nye FPGA prosjekter hvert år INF H10 23 INF H10 24

7 Fordeer med FPGA vs. ASIC Power consumption ASIC vs FPGA (exampe) Kortere utvikingstid på grunn av enke reprogrammering. Kan re-programmeres i system ute i fet. Mindre økonomisk risiko (produksjon av en ASIC-krets er dyrt og den kan ikke reprogrammeres). INF H10 25 INF H10 26

INF3430/4431. Kretsteknologier Max. kap. 3

INF3430/4431. Kretsteknologier Max. kap. 3 INF3430/4431 Kretsteknologier Max. kap. 3 Kretsteknologier (Max. kap. 3) Programmerbar logikk kretser (PLD): Simple Programmable Logic Device (SPLD) Complex Programmable Logic Devices (CPLD) Field Programmable

Detaljer

INF3430. Kretsteknologier Programmeringsteknologier VHDL-Access datatyper

INF3430. Kretsteknologier Programmeringsteknologier VHDL-Access datatyper INF3430 Kretsteknologier Programmeringsteknologier VHDL-Access datatyper l l l Programmable Read Only Memory a b c Predefined link Programmable link a b c Predefined link Programmable link Address 0 Address

Detaljer

Programmerbar logikk. CPLD og FPGA. Fys3270(4270)

Programmerbar logikk. CPLD og FPGA. Fys3270(4270) Programmerbar logikk CPLD og FPGA Agenda CPLD (Complex PLD) Arkitektur CPLD familier Timingmodeller Programmering FPGA (Field Programable Gate Array) Arkitekturer Eksempel på FPGA teknologier Antifuse

Detaljer

TDT4160 Datamaskiner Grunnkurs 2011. Gunnar Tufte

TDT4160 Datamaskiner Grunnkurs 2011. Gunnar Tufte 1 TDT4160 Datamaskiner Grunnkurs 2011 Gunnar Tufte 2 Kapittel 3: Digital logic level 3 Nivå 0: Digtalekretsar Fundamentale komponentar AND, OR, NOT,NAND, NOR XOR porter D-vipper for lagring av ett bit

Detaljer

Design med ASIC og FPGA (Max kap.7 og 18)

Design med ASIC og FPGA (Max kap.7 og 18) Design med ASIC og FPGA (Max kap.7 og 18) Innhold: Begrensninger/muligheter å ta hensyn til ved FPGA design som en normalt slipper å tenke på med ASIC design. Migrering mellom FPGA og ASIC INF3430 - H10

Detaljer

I dag. Minne typar Minne mot bussar (fysisk grensesnitt generelt) Meir buss

I dag. Minne typar Minne mot bussar (fysisk grensesnitt generelt) Meir buss 1 I dag Minne typar Minne mot bussar (fysisk grensesnitt generelt) Meir buss 2 3 Lagerhierarki 4 Minne type: Aksess 5 Minne type: Aksess Synkron / Asynkron Synkron Inn/ut lesing av data følgjer klokka

Detaljer

Design med ASIC og FPGA (Max kap.7 og 18)

Design med ASIC og FPGA (Max kap.7 og 18) Design med ASIC og FPGA (Max kap.7 og 18) Innhold: Begrensninger/muligheter å ta hensyn til ved FPGA design som en normalt slipper å tenke på med ASIC design. Migrering mellom FPGA og ASIC INF3430 - H12

Detaljer

Teoretisk minnemodell Flyktig minne - SRAM -DRAM Ikke-flyktig minne -ROM -EPROM - EEPROM Flash

Teoretisk minnemodell Flyktig minne - SRAM -DRAM Ikke-flyktig minne -ROM -EPROM - EEPROM Flash Hovedpunkter Kapittel 7 Minne Teoretisk minnemodell Flyktig minne - SRAM -DRAM Ikke-flyktig minne -ROM -EPROM - EEPROM Flash 2 Minne - generelt Minne teoretisk cellestruktur Generelt minne Hvert bit lagres

Detaljer

FYS 3270(4270) Data-assistert konstruksjon av kretselektronikk (tidligere Fys 329) Fys3270(4270)

FYS 3270(4270) Data-assistert konstruksjon av kretselektronikk (tidligere Fys 329) Fys3270(4270) FYS 3270(4270) Data-assistert konstruksjon av kretselektronikk (tidligere Fys 329) Forelesere Jørgen Norendal, Universitetslektor Fieldbus International AS Jan Kenneth Bekkeng, Stipendiat Kosmisk fysikk

Detaljer

Datamaskiner og operativsystemer =>Datamaskinorganisering og arkitektur

Datamaskiner og operativsystemer =>Datamaskinorganisering og arkitektur Datamaskiner og operativsystemer =>Datamaskinorganisering og arkitektur Lærebok: Computer organization and architecture/w. Stallings. Avsatt ca 24 timers tid til forelesning. Lærestoffet bygger på begrepsapparat

Detaljer

Digital logic level: Oppsummering

Digital logic level: Oppsummering 1 Digital logic level: Oppsummering 2 Nivå 0: Digtalekretsar Ai Bi Ci-1 Fundamentale komponentar AND, OR, NOT,NAND, NOR XOR porter D-vipper for lagring av ett bit Samansette komponentar Aritmetiske kretsar

Detaljer

Dagens temaer. Architecture INF ! Dagens temaer hentes fra kapittel 3 i Computer Organisation and

Dagens temaer. Architecture INF ! Dagens temaer hentes fra kapittel 3 i Computer Organisation and Dagens temaer! Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture! Enkoder/demultiplekser (avslutte fra forrige gang)! Kort repetisjon 2-komplements form! Binær addisjon/subtraksjon!

Detaljer

SRAM basert FPGA INF H10 1

SRAM basert FPGA INF H10 1 SRAM basert FPGA Prinsipp: SRAM-minne inne i FPGA lagrer kretsens konfigurasjon Fordeler Kan reprogrammeres uendelig mange ganger Plass til mye logikk Kan lett endre funksjonaliteten til systemet Trenger

Detaljer

Internminnet. Håkon Tolsby. 22.09.2014 Håkon Tolsby

Internminnet. Håkon Tolsby. 22.09.2014 Håkon Tolsby Internminnet Håkon Tolsby 22.09.2014 Håkon Tolsby 1 Innhold: Internminnet RAM DRAM - SDRAM - DDR (2og3) ROM Cache-minne 22.09.2014 Håkon Tolsby 2 Internminnet Minnebrikkene som finnes på hovedkortet. Vi

Detaljer

Forelesning 9. Registre, tellere og minne

Forelesning 9. Registre, tellere og minne Forelesning 9 Registre, tellere og minne Registre Tri-state output Shift registre Tellere Binær rippelteller Synkronteller Hovedpunkter registre og tellere 2 Register N bits register - parallellkobling

Detaljer

Internminnet. Håkon Tolsby Håkon Tolsby

Internminnet. Håkon Tolsby Håkon Tolsby Internminnet Håkon Tolsby 26.09.2017 Håkon Tolsby 1 Innhold: Internminnet RAM DRAM - SDRAM - DDR (2, 3, 4, 5) ROM Cache-minne 26.09.2017 Håkon Tolsby 2 Internminnet Minnebrikkene som finnes på hovedkortet.

Detaljer

INF1400 Kap 0 Digitalteknikk

INF1400 Kap 0 Digitalteknikk INF1400 Kap 0 Digitalteknikk Binære tall (ord): Digitale signaler: Hva betyr digital? Tall som kun er representert ved symbolene 0 og 1 (bit s). Nøyaktighet gitt av antall bit. (avrundingsfeil) Sekvenser

Detaljer

INF2270. Datamaskin Arkitektur

INF2270. Datamaskin Arkitektur INF2270 Datamaskin Arkitektur Hovedpunkter Von Neumann Arkitektur ALU Minne SRAM DRAM RAM Terminologi RAM Signaler Register Register overføringsspråk Von Neumann Arkitektur John von Neumann publiserte

Detaljer

INF2270. Datamaskin Arkitektur

INF2270. Datamaskin Arkitektur INF2270 Datamaskin Arkitektur Hovedpunkter Von Neumann Arkitektur ALU Minne SRAM DRAM RAM Terminologi RAM Signaler Register Register overføringsspråk Von Neumann Arkitektur John von Neumann publiserte

Detaljer

MAX MIN RESET. 7 Data Inn Data Ut. Load

MAX MIN RESET. 7 Data Inn Data Ut. Load UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i IN 240 çç Digital Systemkonstruksjon Eksamensdag: 6. desember 2000 Tid for eksamen: 9.00 ç 15.00 Oppgavesettet er p 5 sider. Vedlegg:

Detaljer

En teknisk historiefortelling

En teknisk historiefortelling Tretti år med digital kretsteknikk En teknisk historiefortelling av Yngvar Lundh Utrolig mye har hendt på et par mannsaldre Digitalteknikk De første viktige tretti år Vi kalte det Sifferteknikk 1940 1950

Detaljer

Dagens temaer. Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture. Sekvensiell logikk. Flip-flop er

Dagens temaer. Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture. Sekvensiell logikk. Flip-flop er Dagens temaer Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture Sekvensiell logikk Flip-flop er Design av sekvensielle kretser Tilstandsdiagram Tellere og registre INF2270 1/19

Detaljer

Høgskolen i Gjøviks rapportserie, 2007 nr 8

Høgskolen i Gjøviks rapportserie, 2007 nr 8 Høgskolen i Gjøviks rapportserie, 2007 nr 8 FPLD leverandører på verdensbasis i 2007 En oversiktsundersøkelse Knut Wold Elektroseksjonen ved Institutt for Ingeniør og Allmennfag Gjøvik 2007 ISSN 0806-3176

Detaljer

Dagens temaer. Dagens temaer er hentet fra P&P kapittel 3. Motivet for å bruke binær representasjon. Boolsk algebra: Definisjoner og regler

Dagens temaer. Dagens temaer er hentet fra P&P kapittel 3. Motivet for å bruke binær representasjon. Boolsk algebra: Definisjoner og regler Dagens temaer Dagens temaer er hentet fra P&P kapittel 3 Motivet for å bruke binær representasjon Boolsk algebra: Definisjoner og regler Kombinatorisk logikk Eksempler på byggeblokker 05.09.2003 INF 103

Detaljer

SIE 4005, 8/10 (3. Forelesn.)

SIE 4005, 8/10 (3. Forelesn.) SIE 4005, 8/10 (3. Forelesn.) Andre forelesning: litt repetisjon 7.7 Arithmetic / Logic unit 7.8 The Shifter 7.9 Datapath representation 7.10 The control word 7.11 Pipelined datapath Tredje forelesning:

Detaljer

INF3430/4431. Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA

INF3430/4431. Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA INF3430/4431 Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA Agenda Hva skal vi gjøre i INF3430/4431? VDHL simulering/syntese Place & Route til FPGA Prøve ut design i ekte hardware Hvorfor VHDL

Detaljer

Dagens temaer. Mer om cache-hukommelse (kapittel 6.5 i Computer Organisation and Architecture ) RAM ROM. Hukommelsesbusser

Dagens temaer. Mer om cache-hukommelse (kapittel 6.5 i Computer Organisation and Architecture ) RAM ROM. Hukommelsesbusser Dagens temaer Mer om cache-hukommelse (kapittel 6.5 i Computer Organisation and Architecture ) RAM Typer, bruksområder og oppbygging ROM Typer, bruksområder og oppbygging Hukommelsesbusser 1 Cache (repetisjon)

Detaljer

Oppsummering av digitalteknikkdelen

Oppsummering av digitalteknikkdelen Oppsummering av digitalteknikkdelen! Følgende hovedtemaer er gjennomgått! Boolsk Algebra! von Neuman-arkitektur! Oppbygging av CPU! Pipelining! Cache! Virtuelt minne! Interne busser 09.05. INF 1070 1 Boolsk

Detaljer

TDT4160 Datamaskiner Grunnkurs Gunnar Tufte

TDT4160 Datamaskiner Grunnkurs Gunnar Tufte 1 TDT4160 Datamaskiner Grunnkurs 2011 Gunnar Tufte 2 Kapittel 4: Microarchitecture level 3 Auka yting IJVM 4 IJVM: MicrArch vs Instruction Set Architecture Instruksjonsset: Minnemodell: MIC 1 MIC 2 ISA

Detaljer

En mengde andre typer som DVD, CD, FPGA, Flash, (E)PROM etc. (Kommer. Hukommelse finnes i mange varianter avhengig av hva de skal brukes til:

En mengde andre typer som DVD, CD, FPGA, Flash, (E)PROM etc. (Kommer. Hukommelse finnes i mange varianter avhengig av hva de skal brukes til: 2 Dagens temaer Dagens 4 Sekvensiell temaer hentes fra kapittel 3 i Computer Organisation and Architecture Design Flip-flop er av sekvensielle kretser Tellere Tilstandsdiagram og registre Sekvensiell Hvis

Detaljer

bruksområder og oppbygging om cache-hukommelse (kapittel 6.5 i Computer Organisation Dagens temaer and Architecture ) ROM RAM

bruksområder og oppbygging om cache-hukommelse (kapittel 6.5 i Computer Organisation Dagens temaer and Architecture ) ROM RAM 1 Dagens temaer Mer om cache-hukommelse (kapittel 6.5 i Computer Organisation and Architecture ) RAM Typer, bruksområder og oppbygging ROM Typer, bruksområder og oppbygging 2 Cache (repetisjon) Formål:

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO Side 1 UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1400 Eksamensdag: Fredag 3. desember Tid for eksamen: kl. 14:30-18:30 (4 timer). Oppgavesettet er på side(r) 7 sider

Detaljer

Dagens temaer. temaer hentes fra kapittel 3 i Computer Organisation. av sekvensielle kretser. and Architecture. Tilstandsdiagram.

Dagens temaer. temaer hentes fra kapittel 3 i Computer Organisation. av sekvensielle kretser. and Architecture. Tilstandsdiagram. Dagens temaer 1 Dagens Sekvensiell temaer hentes fra kapittel 3 i Computer Organisation and Architecture logikk Flip-flop er Design av sekvensielle kretser Tilstandsdiagram Tellere og registre Sekvensiell

Detaljer

Høgskolen i Gjøviks rapportserie, 2005 nr 4

Høgskolen i Gjøviks rapportserie, 2005 nr 4 Høgskolen i Gjøviks rapportserie, 2005 nr 4 FPLD leverandører på verdensbasis i 2005 En oversiktsundersøkelse Halgeir Leiknes Elektroseksjonen ved Institutt for Ingeniør og Allmennfag Gjøvik 2005 ISSN

Detaljer

Forelesning 5. Diverse komponenter/større system

Forelesning 5. Diverse komponenter/større system Forelesning 5 Diverse komponenter/større system Hovedpunkter Komparator Dekoder/enkoder MUX/DEMUX Kombinert adder/subtraktor ALU En minimal RISC - CPU 2 Komparator Komparator sammenligner to 4 bits tall

Detaljer

INF1400 Kap4rest Kombinatorisk Logikk

INF1400 Kap4rest Kombinatorisk Logikk INF4 Kap4rest Kombinatorisk Logikk Hovedpunkter Komparator Dekoder/enkoder MUX/DEMUX Kombinert adder/subtraktor ALU FIFO Stack En minimal RISC - CPU Komparator Komparator sammenligner to tall A og B 3

Detaljer

VLSI (Very-Large-Scale-Integrated- Circuits) it Mer enn porter på samme. LSI (Large-Scale-Integrated-Circuits)

VLSI (Very-Large-Scale-Integrated- Circuits) it Mer enn porter på samme. LSI (Large-Scale-Integrated-Circuits) Teknologier Repetisjon Sentrale temaer i kurset som er relevante for eksamen (Eksamen kan inneholde stoff som ikke er nevnt her) VLSI (Very-Large-Scale-Integrated- Circuits) it Mer enn porter på samme

Detaljer

Dagens tema. Dagens temaer hentes fra kapittel 3 i læreboken. Repetisjon, design av digitale kretser. Kort om 2-komplements form

Dagens tema. Dagens temaer hentes fra kapittel 3 i læreboken. Repetisjon, design av digitale kretser. Kort om 2-komplements form Dagens tema Dagens temaer hentes fra kapittel 3 i læreboken Repetisjon, design av digitale kretser Kort om 2-komplements form Binær addisjon/subtraksjon Aritmetisk-logisk enhet (ALU) Demo av Digital Works

Detaljer

Dagens tema. Dagens tema hentes fra kapittel 3 i Computer Organisation and Architecture. Sekvensiell logikk. Flip-flop er. Tellere og registre

Dagens tema. Dagens tema hentes fra kapittel 3 i Computer Organisation and Architecture. Sekvensiell logikk. Flip-flop er. Tellere og registre Dagens tema Dagens tema hentes fra kapittel 3 i Computer Organisation and Architecture Sekvensiell logikk Flip-flop er Tellere og registre Design av sekvensielle kretser (Tilstandsdiagram) 1/19 Sekvensiell

Detaljer

Eksamen INF2270 våren 2018

Eksamen INF2270 våren 2018 Generell informasjon Eksamen INF2270 våren 2018 Dette oppgavesettet består av 14 oppgaver som kan løses uavhengig av hverandre. Dersom du synes noe i oppgaveteksten er uklart, må du gjøre dine egne forutsetninger;

Detaljer

TFE4101 Krets- og Digitalteknikk Høst 2016

TFE4101 Krets- og Digitalteknikk Høst 2016 Norges teknisk naturvitenskapelige universitet Institutt for elektronikk og telekomunikasjon TFE40 Krets- og Digitalteknikk Høst 206 Løsningsforslag Øving 6 Teknologi-mapping a) Siden funksjonen T er på

Detaljer

ITPE/DATS 2400: Datamaskinarkitektur og Nettverk

ITPE/DATS 2400: Datamaskinarkitektur og Nettverk ITPE/DATS 2400: Datamaskinarkitektur og Nettverk Forelesning Knut Nygaard / T. M. Jonassen Institute of Computer Science Faculty of Technology, Art and Design Oslo and Akershus University College of Applied

Detaljer

Vi anbefaler at du setter deg litt inn i maskinen på forhånd. Det er en DELL Optiplex 620.

Vi anbefaler at du setter deg litt inn i maskinen på forhånd. Det er en DELL Optiplex 620. Oppgave lab Vi anbefaler at du setter deg litt inn i maskinen på forhånd. Det er en DELL Optiplex 620. Søk etter denne maskinen på nettet. Alle oppgavene skal dokumenteres på din studieweb med tekst og

Detaljer

Elektroniksystem. Professor Per Larsson-Edefors. Elektroniksystem, Per Larsson-Edefors, 7 maj 2012 Sida 1

Elektroniksystem. Professor Per Larsson-Edefors. Elektroniksystem, Per Larsson-Edefors, 7 maj 2012 Sida 1 Elektroniksystem Professor Per Larsson-Edefors perla@chalmers.se Elektroniksystem, Per Larsson-Edefors, 7 maj 2012 Sida 1 Kretskort med standardkomponenter Elektroniksystem, Per Larsson-Edefors, 7 maj

Detaljer

Avanserte byggeblokker (Maxfield kap.13 og 17)

Avanserte byggeblokker (Maxfield kap.13 og 17) Avanserte byggeblokker (Maxfield kap.13 og 17) Innhold: Kap 13: Embedded prosessorer (prosessorkjerner) Kap 17: Virtuelle komponenter (Intellectual Properties - IPs) INF3430 - H11 1 Organisering av kretskort

Detaljer

INF1400 Kap 1. Digital representasjon og digitale porter

INF1400 Kap 1. Digital representasjon og digitale porter INF4 Kap Digital representasjon og digitale porter Hovedpunkter Desimale / binære tall Digital hardware-representasjon Binær koding av bokstaver og lyd Boolsk algebra Digitale byggeblokker / sannhetstabell

Detaljer

Dagens temaer. Cache (repetisjon) Cache (repetisjon) Cache (repetisjon)

Dagens temaer. Cache (repetisjon) Cache (repetisjon) Cache (repetisjon) Dagens temaer Cache (repetisjon) Mer om cache-hukommelse (kapittel 6.5 i Computer Organisation and Architecture ) Typer, bruksområder og oppbygging ROM Typer, bruksområder og oppbygging Hukommelsesbusser

Detaljer

Forelesning nr.10 INF 1411 Elektroniske systemer. Felteffekt-transistorer

Forelesning nr.10 INF 1411 Elektroniske systemer. Felteffekt-transistorer Forelesning nr.10 INF 1411 Elektroniske systemer Felteffekt-transistorer Dagens temaer Bipolare transistorer som brytere Felteffekttransistorer (FET) FET-baserte forsterkere Dagens temaer er hentet fra

Detaljer

Forelesning nr.10 INF 1411 Elektroniske systemer

Forelesning nr.10 INF 1411 Elektroniske systemer Forelesning nr.10 INF 1411 Elektroniske systemer Felteffekt-transistorer 1 Dagens temaer Bipolare transistorer som brytere Felteffekttransistorer (FET) FET-baserte forsterkere Feedback-oscillatorer Dagens

Detaljer

Kapittel 7, Minne RAM DIMM, SIMM ROM, PROM, EPROM, EEPROM FLASH DIM SUM. Cache Virtuelt minne

Kapittel 7, Minne RAM DIMM, SIMM ROM, PROM, EPROM, EEPROM FLASH DIM SUM. Cache Virtuelt minne Kapittel 7, Minne RAM DIMM, SIMM ROM, PROM, EPROM, EEPROM FLASH DIM SUM Cache Virtuelt minne 26.04.2013 Data Cache Les adresse 99 Adresse 99 Prosessor med registre Cache Cache L2 Data Data Les side Adresse

Detaljer

Permanentmagneter - av stål med konstant magnetisme. Elektromagneter- består av en spole som må tilkoples en spenning for å bli magnetiske.

Permanentmagneter - av stål med konstant magnetisme. Elektromagneter- består av en spole som må tilkoples en spenning for å bli magnetiske. 1 5.1 GEERELL MAGETSME - MAGETFELT Det skies meom to typer magnetisme: Permanentmagneter - av stå med konstant magnetisme. Eektromagneter- består av en spoe som må tikopes en spenning for å bi magnetiske.

Detaljer

Formel III over kan sammenliknes med Ohm`s lov for en elektrisk krets.

Formel III over kan sammenliknes med Ohm`s lov for en elektrisk krets. 1 5.4 MAGETSKE KRETSER HOPKSOS LOV iguren 5.4.1 kan betraktes som en eektrisk krets. Hvor vi benytter den magnetiske kidespenningen, reuktansen og den magnetiske fuksen og sammenikner dem med spenningen

Detaljer

TDT4160 Datamaskiner Grunnkurs 2011. Gunnar Tufte

TDT4160 Datamaskiner Grunnkurs 2011. Gunnar Tufte 1 TDT4160 Datamaskiner Grunnkurs 2011 Gunnar Tufte 2 Lager 2.1 2.2 Hard disc Tape storage RAM Module Optical disc Register bank Core memory 3 Ein-prosessor maskin 4 Lager og prosessor overordna Tape Optical

Detaljer

Høgskoleni østfold EKSAMEN. Emnekode: Emne: ITD13012 Datateknikk (deleksamen 1, høstsemesteret) Dato: Eksamenstid: kl til kl.

Høgskoleni østfold EKSAMEN. Emnekode: Emne: ITD13012 Datateknikk (deleksamen 1, høstsemesteret) Dato: Eksamenstid: kl til kl. Høgskoleni østfold EKSAMEN Emnekode: Emne: ITD13012 Datateknikk (deleksamen 1, høstsemesteret) Dato: 02.12.2015 Eksamenstid: kl. 0900 til kl. 1200 Hjelpemidler: Faglærer: to A4-ark (fire sider) med egne

Detaljer

1 Innledning. 2 Virkemåte for kortet. Bli kjent med USB I/O kort K8055. NB! Ta med multimeter og lite skrujern!

1 Innledning. 2 Virkemåte for kortet. Bli kjent med USB I/O kort K8055. NB! Ta med multimeter og lite skrujern! D:\Per\Fag\Styresys\Oppgavebok\K8055LV_12\Øving 1\K8055_LV2012_SANN1_2014.wpd Fag SO507E Styresystemer HIST-AFT jan 14 PHv Dataøving 1 SANNTID MED LABVIEW Bli kjent med USB I/O kort K8055. NB! Ta med multimeter

Detaljer

Repetisjon. Sentrale temaer i kurset som er relevante for eksamen (Eksamen kan inneholde stoff som ikke er nevnt her)

Repetisjon. Sentrale temaer i kurset som er relevante for eksamen (Eksamen kan inneholde stoff som ikke er nevnt her) Repetisjon Sentrale temaer i kurset som er relevante for eksamen (Eksamen kan inneholde stoff som ikke er nevnt her) Hovedpunkter Pensumoversikt Gjennomgang av sentrale deler av pensum Div informasjon

Detaljer

Cache (repetisjon) Cache (repetisjon) Cache (repetisjon) Dagens temaer. CPU Cache RAM. om cache-hukommelse (kapittel 6.5 i Computer Organisation

Cache (repetisjon) Cache (repetisjon) Cache (repetisjon) Dagens temaer. CPU Cache RAM. om cache-hukommelse (kapittel 6.5 i Computer Organisation Dagens temaer Mer om cache-hukommelse (kapittel 6.5 i Computer Organisation and Architecture ) bruksområder og oppbygging ROM bruksområder og oppbygging Hukommelsesbusser Typer, Typer, Cache (repetisjon)

Detaljer

Organisering og ledelse av hardware-utvikling

Organisering og ledelse av hardware-utvikling Organisering og ledelse av hardware-utvikling INF5700 Organisering og ledelse av tekniske prosjekter, 2010.10.15 Snorre Aunet, sa@ifi.uio.no Dept. of Informatics, Nanoelectronics group, University of Oslo

Detaljer

Dataveier og optimalisering. Kapittel 9

Dataveier og optimalisering. Kapittel 9 Dataveier og optimalisering Kapittel 9 Innhold Designkrav Arealbehov kontra hastighet Pipelining For å økte ytelsen til en krets Ressursdeling For å minke arealbehovet Overordnede designkrav: Designet

Detaljer

Konstruksjon av små innebygde system basert på mjukprosessor. Morten Tengesdal, Institutt for data- og elektroteknikk, Universitetet i Stavanger

Konstruksjon av små innebygde system basert på mjukprosessor. Morten Tengesdal, Institutt for data- og elektroteknikk, Universitetet i Stavanger Konstruksjon av små innebygde system basert på mjukprosessor Morten Tengesdal, Institutt for data- og elektroteknikk, Universitetet i Stavanger 9. mars 2012 Universitetet i Stavanger N-4036 Stavanger NORGE

Detaljer

kl 12:00 - mandag 31. mars 2008 Odde: uke 11 (12. mars 2008) Utlevert: fredag 7. mars 2008 Like: uke 13 (26. mars 2008) Regneøving 4

kl 12:00 - mandag 31. mars 2008 Odde: uke 11 (12. mars 2008) Utlevert: fredag 7. mars 2008 Like: uke 13 (26. mars 2008) Regneøving 4 Innleveringsfrist: Øvingsveiledning: 12:15-14:00 EL5 kl 12:00 - mandag 31. mars 2008 Odde: uke 11 (12. mars 2008) Utlevert: fredag 7. mars 2008 Like: uke 13 (26. mars 2008) Regneøving 4 Oppgave 1: 30 poeng

Detaljer

Eksamen i emne TFE4110 DIGITALTEKNIKK MED KRETSTEKNIKK

Eksamen i emne TFE4110 DIGITALTEKNIKK MED KRETSTEKNIKK Side 1 av 12 NORGES TEKNISK- NATURVITENSKAPLIGE UNIVERSITET Institutt for elektronikk og telekommunikasjon Faglig kontakt under eksamen: Ragnar Hergum 73 59 20 23 / 920 87 172 Bjørn B. Larsen 73 59 44

Detaljer

Eksamensoppgave i TDT4258 Energieffektive Datamaskinsystemer

Eksamensoppgave i TDT4258 Energieffektive Datamaskinsystemer Institutt for Datateknikk og Informasjonsvitenskap Eksamensoppgave i TDT4258 Energieffektive Datamaskinsystemer Faglig kontakt under eksamen: Magnus Jahre Tlf.: 952 22 309 Eksamensdato: 19. Mai 2014 Eksamenstid

Detaljer

Universitetet i Oslo Institutt for informatikk. Adresse-generator for dataflybaserte beregninger. Cand. Scient. Rapport. Kjetil E.

Universitetet i Oslo Institutt for informatikk. Adresse-generator for dataflybaserte beregninger. Cand. Scient. Rapport. Kjetil E. Universitetet i Oslo Institutt for informatikk Adresse-generator for dataflybaserte beregninger Cand. Scient. Rapport Kjetil E. Vistnes November 2004 Abstract Denne rapporten beskriver et design og en

Detaljer

INF1400. Karnaughdiagram

INF1400. Karnaughdiagram INF4 Karnaughdiagram Hvor er vi Vanskelighetsnivå Binær Porter Karnaugh Kretsdesign Latch og flipflopp Sekvensiell Tilstandsmaskiner Minne Eksamen Tid juleaften Omid Mirmotahari 2 Hva lærte vi forrige

Detaljer

Eksamen i emne TFE4110 DIGITALTEKNIKK MED KRETSTEKNIKK. Fredag 25. mai Tid. Kl LØSNINGSFORSLAG

Eksamen i emne TFE4110 DIGITALTEKNIKK MED KRETSTEKNIKK. Fredag 25. mai Tid. Kl LØSNINGSFORSLAG Side av 7 NORGES TEKNISKNATURITENSKAPLIGE UNIERSITET Institutt for elektronikk og telekommunikasjon Faglig kontakt under eksamen: Ragnar Hergum 7 59 2 2 / 92 87 72 Bjørn B. Larsen 7 59 44 9 Eksamen i emne

Detaljer

7. Hvilket alternativ (A, B eller C) representerer hexadesimaltallet B737 (16) på oktal form?

7. Hvilket alternativ (A, B eller C) representerer hexadesimaltallet B737 (16) på oktal form? Jeg har rettet alle oppgavene og legger ut et revidert løsningsforslag. Noen av besvarelsene var glitrende! 6. Hva er desimalverdien av 0 0000 0000 (2)? Tallet er gitt på toerkomplement binær form. Eneren

Detaljer

Løsningsforslag INF1400 H04

Løsningsforslag INF1400 H04 Løsningsforslag INF1400 H04 Oppgave 1 Sannhetstabell og forenkling av Boolske uttrykk (vekt 18%) I figuren til høyre er det vist en sannhetstabell med 4 variable A, B, C og D. Finn et forenklet Boolsk

Detaljer

Oppgave 2 Maskinkode (vekt 12%)

Oppgave 2 Maskinkode (vekt 12%) UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i IN 47 Program- og maskinvare Eksamensdag: 29. mai 2 Tid for eksamen: 9. 5. Oppgavesettet er på 8 sider. Vedlegg: Ingen Tillatte

Detaljer

Eksamensoppgave i TDT4258 Energieffektive Datamaskinsystemer

Eksamensoppgave i TDT4258 Energieffektive Datamaskinsystemer Institutt for Datateknikk og Informasjonsvitenskap Eksamensoppgave i TDT4258 Energieffektive Datamaskinsystemer Faglig kontakt under eksamen: Magnus Jahre Tlf.: 952 22 309 Eksamensdato: 19. Mai 2014 Eksamenstid

Detaljer

INF2270. Boolsk Algebra og kombinatorisk logikk

INF2270. Boolsk Algebra og kombinatorisk logikk INF227 Boolsk Algebra og kombinatorisk logikk Hovedpunkter Boolsk Algebra og DeMorgans Teorem Forkortning av uttrykk ved regneregler Utlesing av sannhetsverdi-tabell; Max og Min-termer Forkortning av uttrykk

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i Eksamensdag: 13. juni 2013 Tid for eksamen: 14.30 18.30 Oppgavesettet er på 9 sider. Vedlegg: Tillatte hjelpemidler: INF2270 Datamaskinarkitektur

Detaljer

Eksamen i emne TFE4110 DIGITALTEKNIKK MED KRETSTEKNIKK. Fredag 25. mai Tid. Kl LØSNINGSFORSLAG

Eksamen i emne TFE4110 DIGITALTEKNIKK MED KRETSTEKNIKK. Fredag 25. mai Tid. Kl LØSNINGSFORSLAG Side 1 av 17 NORGES TEKNISK- NATURVITENSKAPLIGE UNIVERSITET Institutt for elektronikk og telekommunikasjon Faglig kontakt under eksamen: Ragnar Hergum 73 59 20 23 / 920 87 172 Bjørn B. Larsen 73 59 44

Detaljer

INF3340. Tilstandsmaskiner

INF3340. Tilstandsmaskiner INF3340 Tilstandsmaskiner Innhold Tilstandsmaskiner Mealy og Moore maskiner ASM tilstandsdiagrammer Syntese av ASM diagrammer Tilstandskoding Implementasjon ved bruk av VHDL Eksempler INF3430-Tilstandsmaskiner

Detaljer

Forelesning 8. CMOS teknologi

Forelesning 8. CMOS teknologi Forelesning 8 CMOS teknologi Hovedpunkter MOS transistoren Komplementær MOS (CMOS) CMOS eksempler - Inverter - NAND / NOR - Fulladder Designeksempler (Cadence) 2 Halvledere (semiconductors) 3 I vanlig

Detaljer

Høgskoleni østfold EKSAMEN. Dato: Eksamenstid: kl til kl. 1200

Høgskoleni østfold EKSAMEN. Dato: Eksamenstid: kl til kl. 1200 Høgskoleni østfold EKSAMEN Emnekode: ITD13012 Emne: Datateknikk Dato: 3.12.2014 Eksamenstid: kl. 0900 til kl. 1200 Hjelpemidler: to A4-ark (fire sider) med egne notater "ikke-kommuniserende" kalkulator

Detaljer

INF3430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer Innhold Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard biblioteker

Detaljer

4 kombinatorisk logikk, løsning

4 kombinatorisk logikk, løsning 4 kombinatorisk logikk, løsning 1) Legg sammen følgende binærtall uten å konvertere til desimaltall: a. 1101 + 1001 = 10110 b. 0011 + 1111 = 10010 c. 11010101 + 001011 = 11100000 d. 1110100 + 0001011 =

Detaljer

Høgskolen i Gjøviks rapportserie, 2001 nr 3

Høgskolen i Gjøviks rapportserie, 2001 nr 3 Høgskolen i Gjøviks rapportserie, 2001 nr 3 FPLD leverandører på verdensbasis En grunnleggende oversiktsundersøkelse Halgeir Leiknes Avdeling for Teknologi Gjøvik 2001 ISSN 0806-3176 Forord FPLD=Field

Detaljer

Dagens temaer. Sekvensiell logikk: Kretser med minne. D-flipflop: Forbedring av RS-latch

Dagens temaer. Sekvensiell logikk: Kretser med minne. D-flipflop: Forbedring av RS-latch Dagens temaer Sekvensiell logikk: Kretser med minne RS-latch: Enkleste minnekrets D-flipflop: Forbedring av RS-latch Presentasjon av obligatorisk oppgave (se også oppgaveteksten på hjemmesiden). 9.9.3

Detaljer

TDT4160 Datamaskiner Grunnkurs 2008. Gunnar Tufte

TDT4160 Datamaskiner Grunnkurs 2008. Gunnar Tufte 1 TDT4160 Datamaskiner Grunnkurs 2008 Gunnar Tufte 2 I dag Kva er inni 8051, P4 og UltraSparc Digital logic level (start kapitel 3) VIKTIG MELDING Alle som har brukt NTNU-passord for AoC pålogging må skifte

Detaljer

IN1020. Logiske porter om forenkling til ALU

IN1020. Logiske porter om forenkling til ALU IN2 Logiske porter om forenkling til ALU Hovedpunkter Utlesing av sannhetsverdi-tabell; Max og Min-termer Forenkling av uttrykk med Karnaugh diagram Portimplementasjon Kretsanalyse Adder og subtraktor

Detaljer

F5 IN Digitale byggeblokker. Yngve Hafting,

F5 IN Digitale byggeblokker. Yngve Hafting, F5 IN2060 2018 Digitale byggeblokker Yngve Hafting, yngveha@ifi.uio.no Kort om emnet Formål Emnet tar for seg prinsipper i digital design, som kombinatorisk og sekvensiell logikk, tilstandsmaskiner og

Detaljer

INF3340/4340. Synkrone design Tilstandsmaskiner

INF3340/4340. Synkrone design Tilstandsmaskiner INF3340/4340 Synkrone design Tilstandsmaskiner 18.09.2007 Agenda Tilstandsmaskiner Mealy og Moore maskiner ASM tilstandsdiagrammer Syntese av ASM diagrammer Tilstandskoding Implementasjon ved bruk av VHDL

Detaljer

Generell informasjon

Generell informasjon Introduksjon Oppgave Tittel Oppgavetype Generell informasjon Dokument 1.1 Kompendiet Langsvar Arkitektur Oppgave Tittel Oppgavetype 2.1 Pipeline Flervalg (flere svar) 2.2 Boolsk Algebra Flervalg (flere

Detaljer

Oppsummering digital-teknikk, teknikk, INF2270

Oppsummering digital-teknikk, teknikk, INF2270 Oppsummering digital-teknikk, teknikk, INF227 Grovt sett kan digital-teknikk-delen fordeles i tre: Boolsk algebra og digitale kretser Arkitektur (Von Neuman, etc.) Ytelse (Pipelineling, cache, hukommelse,

Detaljer

Undersøkelse blant ungdom 15-24 år, april 2011 Solingsvaner og solariumsbruk

Undersøkelse blant ungdom 15-24 år, april 2011 Solingsvaner og solariumsbruk Undersøkese bant ungdom 15-24 år, apri 2011 Soingsvaner og soariumsbruk Innedning Kreftforeningen har som ett av tre hovedmå å bidra ti at færre får kreft. De feste hudkrefttifeer (føfekkreft og annen

Detaljer

Hukommelseshierarki. 16/3 cache 7.1 7.2. 23/3 virtuell hukommelse 7.3 7.5. in 147, våren 1999 hukommelseshierarki 1

Hukommelseshierarki. 16/3 cache 7.1 7.2. 23/3 virtuell hukommelse 7.3 7.5. in 147, våren 1999 hukommelseshierarki 1 Hukommelseshierarki når tema pensum 16/3 cache 7.1 7.2 23/3 virtuell hukommelse 7.3 7.5 in 147, våren 1999 hukommelseshierarki 1 Tema for denne forelesningen: en enkel hukommelsesmodell hukommelseshierarki

Detaljer

Forelesning nr.9 INF 1411 Elektroniske systemer. Transistorer MOSFET Strømforsyning

Forelesning nr.9 INF 1411 Elektroniske systemer. Transistorer MOSFET Strømforsyning Forelesning nr.9 INF 1411 Elektroniske systemer Transistorer MOSFET Strømforsyning Dagens temaer Radiorør Transistorer Moores lov Bipolare transistorer Felteffekttransistorer Digitale kretser: AND, OR

Detaljer

TDT4160 OG IT2201 DATAMASKINER GRUNNKURS EKSAMEN

TDT4160 OG IT2201 DATAMASKINER GRUNNKURS EKSAMEN Norwegian University of Science and Technology Faculty of Information Technology, Mathematics and Electrical Engineering The Department of Computer and Information Science TDT4160 OG IT2201 DATAMASKINER

Detaljer

Oppgave 1: Blanda drops

Oppgave 1: Blanda drops Fysikkprøve-0402-f.nb Oppgave : Banda drops a) En avgrenset mengde oksygen-gass HO 2 L ar temperaturen T = 300 K, trykket p = 0 kpa og voum V =0,00 m 3. Beregn massen ti den avgrensede gassen. Vi bruker

Detaljer

Dagens temaer. Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture. Kort repetisjon fra forrige gang. Kombinatorisk logikk

Dagens temaer. Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture. Kort repetisjon fra forrige gang. Kombinatorisk logikk Dagens temaer Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture Kort repetisjon fra forrige gang Kombinatorisk logikk Analyse av kretser Eksempler på byggeblokker Forenkling

Detaljer

Hall effekt. 3. Mål sammenhørende verdier mellom magnetfeltet og Hall-spenningen for to ulike kontrollstrømmer (I = 25 og 50 ma).

Hall effekt. 3. Mål sammenhørende verdier mellom magnetfeltet og Hall-spenningen for to ulike kontrollstrømmer (I = 25 og 50 ma). FY1303 Eektrisitet og magnetisme nstitutt for fysikk, NTNU FY1303 Eektrisitet og magnetisme, høst 007 Laboratorieøvese 1 a effekt ensikt ensikten med øvesen er å gjøre seg kjent med a-effekten og måe denne

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO Side 1 UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1400 Eksamensdag: 29.november 2012 Tid for eksamen: kl. 14.30 18.30 Oppgavesettet er på 4 side(r) Vedlegg: 0 sider

Detaljer

TDT4110 Informasjonsteknologi, grunnkurs Uke 35 Introduksjon til IKT. Professor Guttorm Sindre Institutt for datateknikk og informasjonsvitenskap

TDT4110 Informasjonsteknologi, grunnkurs Uke 35 Introduksjon til IKT. Professor Guttorm Sindre Institutt for datateknikk og informasjonsvitenskap TDT4110 Informasjonsteknologi, grunnkurs Uke 35 Introduksjon til IKT Professor Guttorm Sindre Institutt for datateknikk og informasjonsvitenskap Læringsmål og pensum Læringsmål for denne forelesningen

Detaljer

Beskrivelse av styresystem for aktiv likeretter. Versjon 1.0.

Beskrivelse av styresystem for aktiv likeretter. Versjon 1.0. TR F5940 Beskrivelse av styresystem for aktiv likeretter. Versjon 1.0. Kjell Ljøkelsøy Februar 2004 SAK/OPPGAVE (tittel) TEKNISK RAPPORT SINTEF Energiforskning AS Postadresse: 7465 Trondheim Resepsjon:

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVRSITTT I OSLO et matematisk-naturvitenskapelige fakultet ksamen i: IN3400 igital mikroelektronikk ksamensdag: 1. juni 013 Tid for eksamen: 09.00 13.00 Oppgavesettet er på 6 sider. Vedlegg: Ingen Tillatte

Detaljer

EKSAMEN (Del 1, høsten 2015)

EKSAMEN (Del 1, høsten 2015) EKSAMEN (Del 1, høsten 2015) Emnekode: ITD13012 Emne: Datateknikk Dato: 02.12.2015 Eksamenstid: kl 0900 til kl 1200 Hjelpemidler: Faglærer: to A4-ark (fire sider) med egne notater Robert Roppestad "ikke-kommuniserende"

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVRSITTT I OSLO et matematisk-naturvitenskapelige fakultet ksamen i: INF400 igital mikroelektronikk ksamensdag: 11. juni 2008 Tid for eksamen: Oppgavesettet er på 5 sider. Vedlegg: Ingen Tillatte hjelpemidler:

Detaljer