FYS 3270(4270) Data-assistert konstruksjon av kretselektronikk (tidligere Fys 329) Fys3270(4270)

Størrelse: px
Begynne med side:

Download "FYS 3270(4270) Data-assistert konstruksjon av kretselektronikk (tidligere Fys 329) Fys3270(4270)"

Transkript

1 FYS 3270(4270) Data-assistert konstruksjon av kretselektronikk (tidligere Fys 329)

2 Forelesere Jørgen Norendal, Universitetslektor Fieldbus International AS Jan Kenneth Bekkeng, Stipendiat Kosmisk fysikk

3 Internettsider

4 Agenda Mål/innhold Læreboken Forelesningene Laboratorieoppgavene Litt om utviklingen i elektronikken

5 Mål for kurset: Gi teoretiske og praktiske kunnskaper om datamaskinbaserte konstruksjons- og simuleringsmetoder for elektronikk

6 Innhold Beskrivelse av digital hardware i VHDL VHDL-VHSIC Hardware Description Language VHSIC - Very High Speed Integrated Circuit VHDL-simulering/verifisering av kretsbeskrivelser RTL-level (før syntese, teknologiuavhengig) Gate-level (etter syntese, teknologiavhengig) Programmerbar logikk PLD-Programmable Logic Device CPLD-Complex Programmable Logic Device FPGA-Field Programmable Gate Array Designflyt Syntese Laboratorieoppgaver på testkort med en Cypress CPLD

7 Læreboken Kevin Skahill VHDL for programable logikk ISBN: Meget realistisk og bra bok som Fokuserer på virkelige eksempler istedenfor teori Inkluderer design emner som tilstandsmaskiner, areal kontra hastighet, parametriserte komponenter, hierarki og biblioteker, pipelining, ressursdeling Bruker tallrike eksempler som gjennom syntese gir logiske gater (porter) Demonstrerer opsjoner for syntese, velge rett størrelse, plassering i logisk krets (CPLD/FPGA) (vi underviser ikke i "breakout exercise" og "problems") Boka har Warp software inkludert

8 Læreboken forts Svakhet Inneholder litt for lite om de mer abstrakte delene av VHDL som er viktige for simulering. Anbefalt tilleggsliteratur Peter J. Ashenden : The Designer's Guide to VHDL, 2nd Edition

9 Forelesningene Inkluderer mer av VHDL enn det som står i boken Spesielt deler av språket som er viktig for å bygge opp gode simuleringsomgivelser/vhdl testbenker Levende demonstrasjon av designflyt Bruk av verktøyene SpeedWave VHDL simulator Warp syntese og device fitting programvare Demonstrasjon av logikkanalysator Mange av eksemplene rettet mot lab. oppgavene

10 Laboratorieoppgavene 3(4) obligatoriske laboppgaver Laboppgavene utføres på eget testkort Hele designprosessen vektlegges

11 Utvikling i elektronikken Viktige forutsetninger Bruk av verktøy Prognoser Bruk av embedded prosessorer ASIC og FPGA ASIC-Application Specific Integrated Circuit FPGA-Field Programable Gate Array

12 Elektronikkens revolusjon

13 Integreterte kretser (størrelser) SSI <12 (Small Scale Integration) MSI (Medium Scale Integration) LSI (Large Scale Integration) VLSI (Very Large Scale Integration)

14 Programmerbar logikk PAL-Programammable Array Logic PLD-Programmable Logic Devices CPLD-Complex PLD FPGA-Field Programmable Gate Array

15 ASIC og FPGA/CPLD Kundespesifiserte kretser (ASIC) krever høyere volum Programmerbare kretser (FPGA/CPLD) blir stadig mer brukbare FPGA/CPLD enklere å bruke FPGA/CPLD stadig nærmere ASIC i pris og ytelse Stadig flere produkter bruker FPGA/CPLD Eksempler Altera (Apex, ApexII, Excalibur) Xilinx (Spartan IIE/III, Virtex E, Virtex II, Virtex Pro, Virtex Pro II) Cypress CY7C370I-serien (benyttes i laboppgaver)

16 Designmetoder for PAL og PLD Tegninger/skjemaer, Karnaughdiagram Meget tidkrevende Sannsynlighet for feil stor For PAL/PLD enkle språk som enkelt syntetiserer (fra ca. 1986) F.eks. CUPL, PALASM

17 Ulemper med skjemaer Kontrollogikk må designes ved hjelp av Karnaughdiagram eller lignende. Skjemaer er vanskelig å vedlikeholde Skjemaer må ha skriftlig dokumentasjon i tillegg Vanskelig med gjenbruk av design PLD design er vanskelig å integrere sammen med andre kretser for verifikasjon og simulering

18 Syntese Transformerer et design fra et relativt høyt abstraksjonsnivå (RTL) ned til et sett av Boolske ligninger (CPLD) eller en nettliste (FPGA) RTL = Register Transfer Level

19 VHDL og Verilog, bruk og historie (VHSIC-Very High Speed Integrated Circuit) HDL VDHL = IEEE 1076 og IEEE (syntese) Institute of Electrical and Electronics Engineers, Inc Verilog = IEEE 1364 VHDL vinner stadig større marked Begge språket har dessuten fått en analog utvidelse Samsimulering analogt/digitalt

20 Hvorfor VHDL? (fordeler) IEEE Standard Portabelt mellom forskjellige simulatorer og syntese verktøy Kraftig og fleksibelt Hierarki, beskrive mye, biblioteker etc Deviceuavhengig design Beskrivelsen er uavhengig av implementasjon Gjenbruk av kode Benchmarking Velge forskjellige arkitekturer og forskjellige synteseverktøy ASIC migrasjon Kan benytte samme kode som til CPLD/FPGA Kort Time to market

21 Hvorfor VHDL? Ulemper Du må gi opp kontrol av gate-level implementasjon kan unngås, men ikke direkte, mister da syntesefordelen Logisk implementasjon er ikke effektiv/optimal synteseverktøy har sine begrensinger Kvaliteten av syntese varierer fra verktøy til verktøy

22 Designe med VHDL Definèr design kravene Beskriv designet i VHDL Metodologi Hierarkiske/flate design Kodestil Funksjonell simulering med VHDL-simulator Verifiserer funksjonalitet Avdekker bugs tidlig Syntetisèr, Optimaliser og fit designet i valgt krets (Place and route for en FPGA) Post-layout simulering Simulèrer etter fitting (place and route) Verifiserer funksjonalitet og timing Programmèr kretsen

23 Designflyt I

24 Designflyt II

25

26 WARP VHDL syntese software Fitter software for PLD og CPLD Place og route software for bruk med FPGA Disse FPGA lages ikke mer På laben benyttes nyere versjon av Warp (og derfor er FPGA syntese og place and route tatt bort) JEDEC format simulator for funksjonell simulering av PLD og CPLD implementeringer Statisk timing analysator for bruk i place and route verktøy

27 Deviceuavhengig

28 Portabelt

29 Syntese prosess

30 Verktøybruk

31 Kortsiktige prognoser

32 Ledende halvleder produsenter

33

34

35

36

37

38 Bruk av embedded prosessorer

INF3430/4431. Kretsteknologier Max. kap. 3

INF3430/4431. Kretsteknologier Max. kap. 3 INF3430/4431 Kretsteknologier Max. kap. 3 Kretsteknologier (Max. kap. 3) Programmerbar logikk kretser (PLD): Simple Programmable Logic Device (SPLD) Complex Programmable Logic Devices (CPLD) Field Programmable

Detaljer

Design med ASIC og FPGA (Max kap.7 og 18)

Design med ASIC og FPGA (Max kap.7 og 18) Design med ASIC og FPGA (Max kap.7 og 18) Innhold: Begrensninger/muligheter å ta hensyn til ved FPGA design som en normalt slipper å tenke på med ASIC design. Migrering mellom FPGA og ASIC INF3430 - H10

Detaljer

Design med ASIC og FPGA (Max kap.7 og 18)

Design med ASIC og FPGA (Max kap.7 og 18) Design med ASIC og FPGA (Max kap.7 og 18) Innhold: Begrensninger/muligheter å ta hensyn til ved FPGA design som en normalt slipper å tenke på med ASIC design. Migrering mellom FPGA og ASIC INF3430 - H12

Detaljer

Programmerbar logikk. CPLD og FPGA. Fys3270(4270)

Programmerbar logikk. CPLD og FPGA. Fys3270(4270) Programmerbar logikk CPLD og FPGA Agenda CPLD (Complex PLD) Arkitektur CPLD familier Timingmodeller Programmering FPGA (Field Programable Gate Array) Arkitekturer Eksempel på FPGA teknologier Antifuse

Detaljer

Fys 3270/4270 høsten Laboppgave 2: Grunnleggende VHDL programmering. Styring av testkortets IO enheter.

Fys 3270/4270 høsten Laboppgave 2: Grunnleggende VHDL programmering. Styring av testkortets IO enheter. Fys 3270/4270 høsten 2004 Laboppgave 2: Grunnleggende VHDL programmering. Styring av testkortets IO enheter. Innledning. Målet med denne laboppgaven er at dere skal lære å lage enkle hardware beskrivelser

Detaljer

INF3430/4431. Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA

INF3430/4431. Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA INF3430/4431 Introduksjon til VHDL Spartan starterkit Spartan-3 FPGA Agenda Hva skal vi gjøre i INF3430/4431? VDHL simulering/syntese Place & Route til FPGA Prøve ut design i ekte hardware Hvorfor VHDL

Detaljer

INF 3430/4430. Viktige momenter i syntese og for valg av teknologi

INF 3430/4430. Viktige momenter i syntese og for valg av teknologi INF 3430/4430 Viktige momenter i syntese og for valg av teknologi 17.10.2007 Agenda RTL syntese Constraints Pipelining Syntese for FPGA Behavorial syntese INF3430/4430 Side 2 RTL/ Behavorial syntese RTL

Detaljer

Dataveier og optimalisering. Kapittel 9

Dataveier og optimalisering. Kapittel 9 Dataveier og optimalisering Kapittel 9 Innhold Designkrav Arealbehov kontra hastighet Pipelining For å økte ytelsen til en krets Ressursdeling For å minke arealbehovet Overordnede designkrav: Designet

Detaljer

INF3430. Kretsteknologier Programmeringsteknologier VHDL-Access datatyper

INF3430. Kretsteknologier Programmeringsteknologier VHDL-Access datatyper INF3430 Kretsteknologier Programmeringsteknologier VHDL-Access datatyper l l l Programmable Read Only Memory a b c Predefined link Programmable link a b c Predefined link Programmable link Address 0 Address

Detaljer

INF3430/4430. Grunnleggende VHDL

INF3430/4430. Grunnleggende VHDL INF3430/4430 Grunnleggende VHDL 26.09.2005 20.57 Agenda Entity/architecture Strukturelle design (netlist) Generics Configurations Operatorer-Operator presedence Datatyper Bit / IEEE1164 Std_ulogic /std_logic

Detaljer

INF1400 Kap 0 Digitalteknikk

INF1400 Kap 0 Digitalteknikk INF1400 Kap 0 Digitalteknikk Binære tall (ord): Digitale signaler: Hva betyr digital? Tall som kun er representert ved symbolene 0 og 1 (bit s). Nøyaktighet gitt av antall bit. (avrundingsfeil) Sekvenser

Detaljer

Simulering, syntese og verifikasjon (Max kap. 19)

Simulering, syntese og verifikasjon (Max kap. 19) Simulering, syntese og verifikasjon (Max kap. 19) Innhold: Simuleringsmetoder Hendelsesbasert Cyclebasert Plassering av design i FPGA (syntese) Verifikasjon INF3430 - H11 1 Hendelsdrevet simulering 10ps

Detaljer

INF3430. VHDL byggeblokker og testbenker

INF3430. VHDL byggeblokker og testbenker INF3430 VHDL byggeblokker og Innhold Entity/architecture Strukturelle design (nettliste) Generics Configurations Operatorer-Operator prioritet (precedence) Datatyper Bit / IEEE1164 std_ulogic /std_logic

Detaljer

INF3430/4431. VHDL byggeblokker og testbenker

INF3430/4431. VHDL byggeblokker og testbenker INF3430/4431 VHDL byggeblokker og testbenker Entity/architecture Innhold Strukturelle design (nettliste) Generics Configurations Operatorer-Operator prioritet (precedence) Datatyper Bit / IEEE1164 std_ulogic

Detaljer

INF3430/4430. Grunnleggende VHDL. 11-Sep-06

INF3430/4430. Grunnleggende VHDL. 11-Sep-06 INF3430/4430 Grunnleggende VHDL 11-Sep-06 Agenda Entity/architecture Strukturelle design (netlist) Generics Configurations Operatorer-Operator presedence Datatyper Bit / IEEE1164 Std_ulogic /std_logic

Detaljer

Kretsteknologier (Max. kap. 3) Kretsteknologier. Kretsteknologier. Følgende gjelder for alle figurer hentet fra læreboka. Max. kap.

Kretsteknologier (Max. kap. 3) Kretsteknologier. Kretsteknologier. Følgende gjelder for alle figurer hentet fra læreboka. Max. kap. Kretsteknoogier (Max. kap. 3) Kretsteknoogier Max. kap. 3 Programmerbar ogikk kretser (PLD): Simpe Programmabe Logic Device (SPLD) Compex Programmabe Logic Devices (CPLD) Fied Programmabe Gate Array (FPGA)

Detaljer

Organisering og ledelse av hardware-utvikling

Organisering og ledelse av hardware-utvikling Organisering og ledelse av hardware-utvikling INF5700 Organisering og ledelse av tekniske prosjekter, 2010.10.15 Snorre Aunet, sa@ifi.uio.no Dept. of Informatics, Nanoelectronics group, University of Oslo

Detaljer

Avanserte byggeblokker (Maxfield kap.13 og 17)

Avanserte byggeblokker (Maxfield kap.13 og 17) Avanserte byggeblokker (Maxfield kap.13 og 17) Innhold: Kap 13: Embedded prosessorer (prosessorkjerner) Kap 17: Virtuelle komponenter (Intellectual Properties - IPs) INF3430 - H11 1 Organisering av kretskort

Detaljer

INF3430/4431 Høsten Laboppgave 4 System on Chip (SoC) konstruksjon

INF3430/4431 Høsten Laboppgave 4 System on Chip (SoC) konstruksjon INF3430/4431 Høsten 2011 Laboppgave 4 System on Chip (SoC) konstruksjon 1 Innledning. Hovedmålet med denne laboppgaven er at dere skal lære å lage et såkalt System on Chip (SoC) hvor det skal legges inn

Detaljer

INF 3430/4430. Simuleringsmetodikk

INF 3430/4430. Simuleringsmetodikk INF 3430/4430 Simuleringsmetodikk 02.11.2005 Agenda Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Verifikasjon av syntetisert/plassert design mot RTL-kode Fil-operasjoner

Detaljer

INF3430/4431 Høsten Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter

INF3430/4431 Høsten Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter INF343/443 Høsten 2 Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter Innledning. Målene med denne laboppgaven er å lære om subprogrammer og biblioteker i VHDL

Detaljer

Hovedpunkter. Digital Teknologi. Digitale Teknologi? Digitale Teknologi? Forelesning nr 1. Tall som kun er representert ved symbolene 0 og 1

Hovedpunkter. Digital Teknologi. Digitale Teknologi? Digitale Teknologi? Forelesning nr 1. Tall som kun er representert ved symbolene 0 og 1 3 Digital Teknologi Forelesning nr Digitale Teknologi? Teknologi som opererer med digitale signaler, eller diskrete data. Vi skal se at det er mange fordeler med digitale systemer 4 Desimale / binære tall

Detaljer

Entities and architectures. Kapittel 3

Entities and architectures. Kapittel 3 Entities and architectures Kapittel 3 VHDL program Én fil Entities and architectures Entity declaration og architecture body Analogi til en IC: Entiteten beskriver interfacet til omgivelsen (pakkens tilkoblingspinner)

Detaljer

SRAM basert FPGA INF H10 1

SRAM basert FPGA INF H10 1 SRAM basert FPGA Prinsipp: SRAM-minne inne i FPGA lagrer kretsens konfigurasjon Fordeler Kan reprogrammeres uendelig mange ganger Plass til mye logikk Kan lett endre funksjonaliteten til systemet Trenger

Detaljer

INF3430/4431. Viktige momenter i syntese og for valg av teknologi Chipscope

INF3430/4431. Viktige momenter i syntese og for valg av teknologi Chipscope INF3430/4431 Viktige momenter i syntese og for valg av teknologi Chipscope Agenda RTL syntese Constraints Pipelining Syntese for FPGA Chipscope INF3430/4431 2 RTL/ Behavorial syntese RTL (Register Transfer

Detaljer

INF 3430/4431. Simuleringsmetodikk

INF 3430/4431. Simuleringsmetodikk INF 3430/4431 Simuleringsmetodikk Innhold Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Fil-operasjoner Eksempel på SRAM modell og simulering av lesing fra denne INF3430/4431

Detaljer

INF 3430/4430. Simuleringsmetodikk

INF 3430/4430. Simuleringsmetodikk INF 3430/4430 Simuleringsmetodikk Innhold Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Fil-operasjoner Eksempel på SRAM modell og simulering av lesing fra denne INF3430 Side

Detaljer

Digital Teknologi. Forelesning nr 1

Digital Teknologi. Forelesning nr 1 Digital Teknologi Forelesning nr 1 Hovedpunkter Desimale / binære tall Digital hardware-representasjon Binær koding av bokstaver og lyd Boolsk algebra Digitale byggeblokker / sannhetstabell Generelle porter

Detaljer

Kombinatorisk og synkron logikk. Kapittel 4

Kombinatorisk og synkron logikk. Kapittel 4 Kombinatorisk og synkron logikk Kapittel 4 Eksempel; FIFO First-In-First-Out Eksempelet i boka er en noe redusert fifo (mangler empty flag, full flag osv.), men har de viktigste elementene Denne FIFOen

Detaljer

INF3430/4430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430/4430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430/4430 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer 19.09.2006 Agenda Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard

Detaljer

INF3430/4430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430/4430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430/4430 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer 2005-10-26 Agenda Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard

Detaljer

1 Innledning. Oppgaven består i å konstruere et digitalt simultanoversettelsessystem.

1 Innledning. Oppgaven består i å konstruere et digitalt simultanoversettelsessystem. Datamaskiner Prosjekt Innledning 1 Innledning Oppgaven består i å konstruere et digitalt simultanoversettelsessystem. Systemet som beskrives her er et enklere system enn det en kanskje kunne ønsket slik

Detaljer

Datamaskiner og operativsystemer =>Datamaskinorganisering og arkitektur

Datamaskiner og operativsystemer =>Datamaskinorganisering og arkitektur Datamaskiner og operativsystemer =>Datamaskinorganisering og arkitektur Lærebok: Computer organization and architecture/w. Stallings. Avsatt ca 24 timers tid til forelesning. Lærestoffet bygger på begrepsapparat

Detaljer

SIE 4005, 8/10 (3. Forelesn.)

SIE 4005, 8/10 (3. Forelesn.) SIE 4005, 8/10 (3. Forelesn.) Andre forelesning: litt repetisjon 7.7 Arithmetic / Logic unit 7.8 The Shifter 7.9 Datapath representation 7.10 The control word 7.11 Pipelined datapath Tredje forelesning:

Detaljer

Fys 3/4270 høsten Designflyt og utviklingsverktøyene Electronic Product Designer (EPD) og Warp2

Fys 3/4270 høsten Designflyt og utviklingsverktøyene Electronic Product Designer (EPD) og Warp2 Fys 3/4270 høsten 2004 Designflyt og utviklingsverktøyene Electronic Product Designer (EPD) og Warp2 Designflyt og verktøy... 3 Designflyt for programmerbar logikk... 3 Designflyten i kurset... 5 Hvordan

Detaljer

INF3430/4430 Høsten Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE

INF3430/4430 Høsten Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE INF3430/4430 Høsten 2005 Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE 1 Designflyt og verktøy...3 1.1 Designflyt for programmerbar logikk... 4 1.2 Verktøy benyttet i kurset... 6 2 Hvordan komme

Detaljer

INF3430/4431. VHDL byggeblokker og testbenker forts.

INF3430/4431. VHDL byggeblokker og testbenker forts. INF343/4431 VHDL byggeblokker og testbenker forts. Innhold IEEE 1164 std_logic Configurations Kombinatoriske kretser forts. Concurrent(dataflow) beskrivelser Beskrivelser ved bruk av process Testbenker

Detaljer

Programvareutvikling (store systemer)

Programvareutvikling (store systemer) Programvareutvikling (store systemer) Software Engineering Nils-Olav Skeie Associate Professor, PhD Page 1 Agenda Bakgrunn, Programvareutvikling, Prosess, Analyse, Design, Koding, Testing CARGOMASTER,

Detaljer

TDT4160 Datamaskiner Grunnkurs 2008. Gunnar Tufte

TDT4160 Datamaskiner Grunnkurs 2008. Gunnar Tufte 1 TDT4160 Datamaskiner Grunnkurs 2008 Gunnar Tufte 2 Dagens forelesing Kapittel 1 Datamaskinsystem Kapittel 2 start 3 Gunnar Fakta Datamaskingruppa Biologisk inspirerte system: Unconvential Computing Machines

Detaljer

INF3430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer Innhold Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard biblioteker

Detaljer

TDT4160 Datamaskiner Grunnkurs 2011. Gunnar Tufte

TDT4160 Datamaskiner Grunnkurs 2011. Gunnar Tufte 1 TDT4160 Datamaskiner Grunnkurs 2011 Gunnar Tufte 2 Dagens forelesing Kven er Eg? Kva gjer eg Kva kan eg TDT4160 2011 Fagstab Førelesningar Øvingar Pensum Kvifor Datamaskiner Grunnkurs Kva kan datamaskiner

Detaljer

Elektronikk. Knut Harald Nygaard. Elektronikk. ved. 1Knut Harald Nygaard

Elektronikk. Knut Harald Nygaard. Elektronikk. ved. 1Knut Harald Nygaard 1Knut Harald Nygaard ved Knut Harald Nygaard Electronics Electronicsis the branch of science, engineering and technology that deals with electrical circuits involving active electrical components such

Detaljer

INF3340/4340. Synkrone design Tilstandsmaskiner

INF3340/4340. Synkrone design Tilstandsmaskiner INF3340/4340 Synkrone design Tilstandsmaskiner 18.09.2007 Agenda Tilstandsmaskiner Mealy og Moore maskiner ASM tilstandsdiagrammer Syntese av ASM diagrammer Tilstandskoding Implementasjon ved bruk av VHDL

Detaljer

Tilstandsmaskiner (FSM) Kapittel 5

Tilstandsmaskiner (FSM) Kapittel 5 Tilstandsmaskiner (FSM) Kapittel 5 1) Sette opp tilstandsdiagram Tradisjonell konstruksjonsmetode 2) Sette opp tilstandstabell ut fra tilstandsdiagrammet Nåværende tilstand (PS) og input Neste tilstand

Detaljer

STE6221 Sanntidssystemer LØSNINGSFORSLAG TIL KONTINUASJONSEKSAMEN

STE6221 Sanntidssystemer LØSNINGSFORSLAG TIL KONTINUASJONSEKSAMEN HØGSKOLEN I NARVIK Avdeling for teknologi MSc.-studiet EL/RT STE6221 Sanntidssystemer LØSNINGSFORSLAG TIL KONTINUASJONSEKSAMEN Tid: Fredag 18.08.2006, kl: 09:00-12:00 Tillatte hjelpemidler: Godkjent programmerbar

Detaljer

VLSI (Very-Large-Scale-Integrated- Circuits) it Mer enn porter på samme. LSI (Large-Scale-Integrated-Circuits)

VLSI (Very-Large-Scale-Integrated- Circuits) it Mer enn porter på samme. LSI (Large-Scale-Integrated-Circuits) Teknologier Repetisjon Sentrale temaer i kurset som er relevante for eksamen (Eksamen kan inneholde stoff som ikke er nevnt her) VLSI (Very-Large-Scale-Integrated- Circuits) it Mer enn porter på samme

Detaljer

TELE2010A Digital Systemkonstruksjon

TELE2010A Digital Systemkonstruksjon TELE2010A Digital Systemkonstruksjon Øving 3/2015 Del 1, Teller: Husk å arbeide i det lokale arbeidsområdet på disken. Kopier filene til serveren når dere er ferdig for å kunne bruke dem neste gang. max_tall

Detaljer

En teknisk historiefortelling

En teknisk historiefortelling Tretti år med digital kretsteknikk En teknisk historiefortelling av Yngvar Lundh Utrolig mye har hendt på et par mannsaldre Digitalteknikk De første viktige tretti år Vi kalte det Sifferteknikk 1940 1950

Detaljer

INF1400 Kap 1. Digital representasjon og digitale porter

INF1400 Kap 1. Digital representasjon og digitale porter INF4 Kap Digital representasjon og digitale porter Hovedpunkter Desimale / binære tall Digital hardware-representasjon Binær koding av bokstaver og lyd Boolsk algebra Digitale byggeblokker / sannhetstabell

Detaljer

INF3430/4431. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

INF3430/4431. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer INF3430/4431 Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer Innhold Funksjoner og operatorer Prosedyrer Begrepet overload Biblioteker Package/package body Standard biblioteker

Detaljer

Velkommen. Velkommen til INF2270. Datamaskinarkitektur. Motto: Datamaskinen på tvers

Velkommen. Velkommen til INF2270. Datamaskinarkitektur. Motto: Datamaskinen på tvers Velkommen Velkommen til INF2270 Datamaskinarkitektur Motto: Datamaskinen på tvers Forelesere Forelesere Omid Mirmotahari (omidmi@ifi.uio.no) fra Studielaben, men opprinnelig fra Nanoelektronikk. Dag Langmyhr

Detaljer

Fys2210 Halvlederkomponenter. Kapittel 6 Felteffekt transistorer

Fys2210 Halvlederkomponenter. Kapittel 6 Felteffekt transistorer Fys2210 Halvlederkomponenter Kapittel 6 Felteffekt transistorer 1 Pensum 1 CRYSTAL PROPERTIES AND GROWTH OF SEMICONDUCTORS 2 ATOMS AND ELECTRONS 3 ENERGY BANDS AND CHARGE CARRIERS IN SEMICONDUCTORS 4 EXCESS

Detaljer

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE Emne: Gruppe(r): 2E Eksamensoppgaven består av: ELEKTRONIKK II Antall sider (inkl. forsiden): 4 Emnekode: SO 313E Dato: 5. juni 2003 Antall oppgaver: 8 Faglig

Detaljer

F4 IN HDL. Yngve Hafting,

F4 IN HDL. Yngve Hafting, F4 IN2060 2018 HDL Yngve Hafting, yngveha@ifi.uio.no Formål Kort om emnet Emnet tar for seg prinsipper i digital design, som kombinatorisk og sekvensiell logikk, tilstandsmaskiner og digitale byggeblokker,

Detaljer

FYS3240/4240 Forslag til prosjektoppgave for Lab 4: DAQ-øvelse med LabVIEW

FYS3240/4240 Forslag til prosjektoppgave for Lab 4: DAQ-øvelse med LabVIEW FYS3240/4240 Forslag til prosjektoppgave for Lab 4: DAQ-øvelse med LabVIEW Jan Kenneth Bekkeng, 11.3.2013 Hensikten med denne øvelsen er å lære DAQ-programmering med utviklingsverktøyet LabVIEW. NB: se

Detaljer

LF SEMESTERPRØVE TDT4258 MIKROKONTROLLER SYSTEMDESIGN. Onsdag 6.mai 2009. Tid: 1025-1155

LF SEMESTERPRØVE TDT4258 MIKROKONTROLLER SYSTEMDESIGN. Onsdag 6.mai 2009. Tid: 1025-1155 Side 1 av 8 Norges teknisk-naturvitenskapelige universitet INSTITUTT FOR DATATEKNIKK OG INFORMASJONSVITENSKAP LF SEMESTERPRØVE TDT4258 MIKROKONTROLLER SYSTEMDESIGN Onsdag 6.mai 2009 Tid: 1025-1155 Tillatte

Detaljer

INF3430/4430 Høsten Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE

INF3430/4430 Høsten Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE INF3430/4430 Høsten 2006 Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE 1 Designflyt og verktøy...4 1.1 Designflyt for programmerbar logikk... 5 1.1.1.1 Design entry... 5 1.1.1.2 Register Transfer

Detaljer

INF3430/4430 Høsten Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE

INF3430/4430 Høsten Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE INF3430/4430 Høsten 2007 Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE 1 Designflyt og verktøy... 4 1.1 Designflyt for programmerbar logikk... 5 1.1.1.1 Design entry... 5 1.1.1.2 Register Transfer

Detaljer

VHDL En kjapp introduksjon VHDL. Oversikt. VHDL versus C(++)/Java

VHDL En kjapp introduksjon VHDL. Oversikt. VHDL versus C(++)/Java Oversikt VHDL En kjapp introduksjon Definisjoner Designparadigmer Generell VHDL-struktur Dataflow -beskrivelse Structural -beskrivelse Behaviour -beskrivelse Objekter /datatyper Operatorer Tips for syntese

Detaljer

Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE

Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE Designflyt Utviklingsverktøyene Modelsim og Xilinx ISE 1 Designflyt og verktøy...4 1.1 Designflyt for programmerbar logikk... 5 1.1.1.1 Design entry... 5 1.1.1.2 Register Transfer Level (RTL)-simulering...

Detaljer

INF3340. Tilstandsmaskiner

INF3340. Tilstandsmaskiner INF3340 Tilstandsmaskiner Innhold Tilstandsmaskiner Mealy og Moore maskiner ASM tilstandsdiagrammer Syntese av ASM diagrammer Tilstandskoding Implementasjon ved bruk av VHDL Eksempler INF3430-Tilstandsmaskiner

Detaljer

Synkron logikk. Sekvensiell logikk; to typer:

Synkron logikk. Sekvensiell logikk; to typer: Sekvensiell logikk De fleste digitale systemer har også minneelementer (f.eks flipflopper) i tillegg til kombinatorisk logikk, og kalles da sekvensiell logikk Output i en sekvensiell krets er avhengig

Detaljer

Last ned Programmerbare logiske styringer - Dag Håkon Hanssen. Last ned

Last ned Programmerbare logiske styringer - Dag Håkon Hanssen. Last ned Last ned Programmerbare logiske styringer - Dag Håkon Hanssen Last ned Forfatter: Dag Håkon Hanssen ISBN: 9788245017977 Antall sider: 462 Format: PDF Filstørrelse:14.69 Mb Dette er ei bok for alle som

Detaljer

Last ned Programmerbare logiske styringer - Dag Håkon Hanssen. Last ned

Last ned Programmerbare logiske styringer - Dag Håkon Hanssen. Last ned Last ned Programmerbare logiske styringer - Dag Håkon Hanssen Last ned Forfatter: Dag Håkon Hanssen ISBN: 9788245017977 Antall sider: 462 Format: PDF Filstørrelse: 21.43 Mb Dette er ei bok for alle som

Detaljer

1 Innhold QUICK START GUIDE FOR QUARTUS II. Prosjektgruppe 59 Side 3 av 30 1 INNHOLD 3 2 ALTERA QUARTUS II. 4

1 Innhold QUICK START GUIDE FOR QUARTUS II. Prosjektgruppe 59 Side 3 av 30 1 INNHOLD 3 2 ALTERA QUARTUS II. 4 1 Innhold 1 INNHOLD 3 2 ALTERA QUARTUS II. 4 2.1 NYTT PROSJEKT 5 2.2 NY FIL TIL ET EKSISTERENDE PROSJEKT. 10 2.3 BRUK AV BLOKKBASERT BESKRIVELSE 12 2.4 LAGE EGNE FUNKSJONER 14 2.5 TILORDNING AV PINNER

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1400 Digital teknologi Eksamensdag: 5. desember 2005 Tid for eksamen: 9-12 Vedlegg: Tillatte hjelpemidler: Oppgavesettet er

Detaljer

Søker: Tage Stabell-Kulø Vesterliveien 30 9013 Tromsø

Søker: Tage Stabell-Kulø Vesterliveien 30 9013 Tromsø Søker: Tage Stabell-Kulø Vesterliveien 30 9013 Tromsø Oppfinner: Søkeren Oppfinnelsens benevnelse: Sikkert kort med kommunikasjonskanal til brukeren 1-1- Datamaskiner kan produseres i en størrelse som

Detaljer

INF3430 Høsten ChipScope PRO - En kort innføring

INF3430 Høsten ChipScope PRO - En kort innføring INF3430 Høsten 2008 ChipScope PRO - En kort innføring Innhold Innledning... 3 Generering av Chipscope kjerner... 4 Generering av ICON (Integrated Controller) modul... 4 Generering av ILA (Integrated Logic

Detaljer

Last ned Fagdidaktikk for kunst og håndverk - Liv Merete Nielsen. Last ned

Last ned Fagdidaktikk for kunst og håndverk - Liv Merete Nielsen. Last ned Last ned Fagdidaktikk for kunst og håndverk - Liv Merete Nielsen Last ned Forfatter: Liv Merete Nielsen ISBN: 9788215013510 Antall sider: 124 Format: PDF Filstørrelse:10.07 Mb Dette er en lærebok i fagdidaktikk

Detaljer

INF3340/4431. Tilstandsmaskiner

INF3340/4431. Tilstandsmaskiner INF3340/4431 Tilstandsmaskiner Innhold Tilstandsmaskiner Mealy og Moore maskiner SM tilstandsdiagrammer Syntese av SM diagrammer Tilstandskoding Implementasjon ved bruk av VHDL Eksempler INF3430/4431 -

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO Side 1 av 8 UNIVERSITETET I OSLO et matematisk-naturvitenskapelige fakultet Eksamen i: INF3430/INF4430 igital systemkonstruksjon Eksamensdag: 6. desember 2007 Tid for eksamen: 9-12 Oppgavesettet er på

Detaljer

Dagens temaer. Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture. Sekvensiell logikk. Flip-flop er

Dagens temaer. Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture. Sekvensiell logikk. Flip-flop er Dagens temaer Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture Sekvensiell logikk Flip-flop er Design av sekvensielle kretser Tilstandsdiagram Tellere og registre INF2270 1/19

Detaljer

Elektronikk for produktutviklere

Elektronikk for produktutviklere Elektronikk for produktutviklere Øyvind Harboe, General Manager, Zylin AS Zylin AS Opprettet 1.1.2002 Embedded elektronikk tjenester 2009 : 5 ansatte Kunder i Stavanger, Oslo, USA, France, Germany Produkter:

Detaljer

INF2270 Datamaskinarkitektur

INF2270 Datamaskinarkitektur Velkommen Velkommen til INF2270 Datamaskinarkitektur Motto: Datamaskinen på tvers Forelesere Forelesere Omid Mirmotahari (omidmi@ifi.uio.no) fra Studielaben, men opprinnelig fra gruppen for Nanoelektronikk.

Detaljer

Fys2210 Halvlederkomponenter. Kapittel 6 Felteffekt transistorer

Fys2210 Halvlederkomponenter. Kapittel 6 Felteffekt transistorer Fys2210 Halvlederkomponenter Kapittel 6 Felteffekt transistorer 1 Repetisjon Kap. 5 Kontaktpotensial V 0 = kt q ln Deplesjonssone W = Diodeligningen N an d n i 2 2ε(V 0 V) N a + N d q N a N d I = I o e

Detaljer

INF2270 Datamaskinarkitektur

INF2270 Datamaskinarkitektur Velkommen Velkommen til INF2270 Datamaskinarkitektur Motto: Datamaskinen på tvers Forelesere Forelesere Omid Mirmotahari (omidmi@ifi.uio.no) fra Studielaben, men opprinnelig fra Nanoelektronikk. Dag Langmyhr

Detaljer

INF 3430/4430. UNISIM, SIMPRIM og Vital-bibliotekene Xilinx Corelib Test og design for testbarhet

INF 3430/4430. UNISIM, SIMPRIM og Vital-bibliotekene Xilinx Corelib Test og design for testbarhet INF 3430/4430 UNISIM, SIMPRIM og Vital-bibliotekene Xilinx Corelib Test og design for testbarhet 04.11.2007 Agenda Xilinx UNISIM biblioteker Xilinx SIMPRIMS Xilinx Corelibs Vital-VHDL initiative towards

Detaljer

INF 3430/4430. UNISIM, SIMPRIM og Vital-bibliotekene Xilinx Corelib Test og design for testbarhet

INF 3430/4430. UNISIM, SIMPRIM og Vital-bibliotekene Xilinx Corelib Test og design for testbarhet INF 3430/4430 UNISIM, SIMPRIM og Vital-bibliotekene Xilinx Corelib Test og design for testbarhet 14.11.2005 Agenda Xilinx UNISIM biblioteker Xilinx SIMPRIMS Xilinx Corelibs Vital-VHDL initiative towards

Detaljer

TDT4160 Datamaskiner Grunnkurs 2011. Gunnar Tufte

TDT4160 Datamaskiner Grunnkurs 2011. Gunnar Tufte 1 TDT4160 Datamaskiner Grunnkurs 2011 Gunnar Tufte 2 Kapittel 3: Digital logic level 3 Nivå 0: Digtalekretsar Fundamentale komponentar AND, OR, NOT,NAND, NOR XOR porter D-vipper for lagring av ett bit

Detaljer

Datamaskinarkitektur våren 2009

Datamaskinarkitektur våren 2009 Datamaskinarkitektur våren 2009 Forelesning 1: Introduksjon til kurset T. M. Jonassen Department of Computer Science Faculty of Engineering Oslo University College 05. Januar 2009 Outline 1 Oversikt 2

Detaljer

Designflyt Utviklingsverktøyene Questa(Modelsim) og Xilinx ISE. Versjon 1.5/

Designflyt Utviklingsverktøyene Questa(Modelsim) og Xilinx ISE. Versjon 1.5/ Designflyt Utviklingsverktøyene Questa(Modelsim) og Xilinx ISE Versjon 1.5/19.08.2015 Designflyt. Utviklingsverktøyene Modelsim og Xilinx ISE 1 Designflyt og verktøy...4 1.1 Designflyt for programmerbar

Detaljer

INF-103. Velkommen til. Første time. Fra brukergrensesnitt til maskinvare. eller Datamaskinen på tvers. Andre time

INF-103. Velkommen til. Første time. Fra brukergrensesnitt til maskinvare. eller Datamaskinen på tvers. Andre time Velkommen til INF-103 Fra brukergrensesnitt til maskinvare eller Datamaskinen på tvers Motto: Hva er det egentlig som skjer? Første time Introduksjon til kurset Hva handler kurset om? Forelesere Pensum

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO Side 1 UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1400 Eksamensdag: Fredag 3. desember Tid for eksamen: kl. 14:30-18:30 (4 timer). Oppgavesettet er på side(r) 7 sider

Detaljer

INF-103 Fra brukergrensesnitt til maskinvare

INF-103 Fra brukergrensesnitt til maskinvare Velkommen til INF-103 Fra brukergrensesnitt til maskinvare eller Datamaskinen på tvers Motto: Hva er det egentlig som skjer? Ark 1 av 23 Første time Introduksjon til kurset Hva handler kurset om? Forelesere

Detaljer

Store design. Kapittel 6

Store design. Kapittel 6 Store design Kapittel 6 Hierarki hvorfor bruke det Dele opp designet i håndterbare designenheter. Fokusere på mindre, håndterbare enheter vil føre til færre feil og raskere debugging av feil. Verifisere

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1400 Digital teknologi Eksamensdag: 3. desember 2008 Tid for eksamen: 14:30 17:30 Oppgavesettet er på 5 sider Vedlegg: 1 Tillatte

Detaljer

Forprosjekt HØGSKOLEN I SØR-TRØNDELAG. Avdeling for teknologi Program for Elektro- og datateknikk 7004 TRONDHEIM

Forprosjekt HØGSKOLEN I SØR-TRØNDELAG. Avdeling for teknologi Program for Elektro- og datateknikk 7004 TRONDHEIM HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Program for Elektro- og datateknikk 7004 TRONDHEIM Forprosjekt Oppgavens tittel: Fulldigital bitsynkroniser Project title: Full digital bitsynchroniser

Detaljer

Velkommen til BIOS1100

Velkommen til BIOS1100 Velkommen til BIOS1100 Innføring i beregningsmodeller for biovitenskap 21. August 2017 Lex Nederbragt https://www.youtube.com/watch?v=nerytl8o- 0Y Aftenposten 16. august 2017 Software Carpentry

Detaljer

Universitetet i Oslo Institutt for informatikk. Adresse-generator for dataflybaserte beregninger. Cand. Scient. Rapport. Kjetil E.

Universitetet i Oslo Institutt for informatikk. Adresse-generator for dataflybaserte beregninger. Cand. Scient. Rapport. Kjetil E. Universitetet i Oslo Institutt for informatikk Adresse-generator for dataflybaserte beregninger Cand. Scient. Rapport Kjetil E. Vistnes November 2004 Abstract Denne rapporten beskriver et design og en

Detaljer

TDT4160 Datamaskiner Grunnkurs 2008. Gunnar Tufte

TDT4160 Datamaskiner Grunnkurs 2008. Gunnar Tufte 1 TDT4160 Datamaskiner Grunnkurs 2008 Gunnar Tufte 2 Dagens forelesing Kven er Eg? Kva gjer eg Kva kan eg TDT4160 2008 Fagstab Førelesningar Øvingar Pensum Kvifor Datamaskiner Grunnkurs Kva kan datamaskiner

Detaljer

TMA4100 Matematikk 1 for MTFYMA høsten 2013

TMA4100 Matematikk 1 for MTFYMA høsten 2013 TMA4100 Matematikk 1 for MTFYMA høsten 2013 Faglærer: Professor Kristian Seip, Institutt for matematiske fag Emnets hjemmeside (felles for alle paralleller), hvor dere finner all informasjon om emnet,

Detaljer

Agenda Funksjoner og prosedyrer. Funksjoner

Agenda Funksjoner og prosedyrer. Funksjoner Aga Funksjoner og prosedyrer Funksjoner Operatorer Standard funksjoner/operatorer Overloading Package og Package body Operator inferencing Prosedyrer Side 1 Funksjoner(1) Benyttes mye i modeller for simulering

Detaljer

Konstruksjon av små innebygde system basert på mjukprosessor. Morten Tengesdal, Institutt for data- og elektroteknikk, Universitetet i Stavanger

Konstruksjon av små innebygde system basert på mjukprosessor. Morten Tengesdal, Institutt for data- og elektroteknikk, Universitetet i Stavanger Konstruksjon av små innebygde system basert på mjukprosessor Morten Tengesdal, Institutt for data- og elektroteknikk, Universitetet i Stavanger 9. mars 2012 Universitetet i Stavanger N-4036 Stavanger NORGE

Detaljer

Velkommen til MAT1030!

Velkommen til MAT1030! MAT1030 Diskret Matematikk Forelesning 1: Algoritmer, pseudokoder, kontrollstrukturer Roger Antonsen Institutt for informatikk, Universitetet i Oslo Velkommen til MAT1030! 13. januar 2009 (Sist oppdatert:

Detaljer

MAT1030 Diskret Matematikk

MAT1030 Diskret Matematikk MAT1030 Diskret Matematikk Forelesning 1: Algoritmer, pseudokoder, kontrollstrukturer Roger Antonsen Institutt for informatikk, Universitetet i Oslo 13. januar 2009 (Sist oppdatert: 2009-01-14 16:44) Velkommen

Detaljer

Last ned Ressurshefte til Sannsynlighetsregning og statistikk - Ørjan Kristensen. Last ned

Last ned Ressurshefte til Sannsynlighetsregning og statistikk - Ørjan Kristensen. Last ned Last ned Ressurshefte til Sannsynlighetsregning og statistikk - Ørjan Kristensen Last ned Forfatter: Ørjan Kristensen ISBN: 9788245020892 Antall sider: 190 Format: PDF Filstørrelse: 28.62 Mb Ressursheftet

Detaljer

Prosessoren. Bakgrunnen Innhold LMC. Assemblerkode Oppsummering instruksjonene [Englander kap 6] Hva inneholder den? Hvordan utføres instruksjonene?

Prosessoren. Bakgrunnen Innhold LMC. Assemblerkode Oppsummering instruksjonene [Englander kap 6] Hva inneholder den? Hvordan utføres instruksjonene? Prosessoren Bakgrunnen Innhold LMC Hva inneholder den? Hvordan utføres instruksjonene? Assemblerkode Oppsummering instruksjonene [Englander kap 6] Lagdelingen av en datamaskin Internett Lokalnett (LAN)

Detaljer

Hvordan fant man på å lage datamaskiner?

Hvordan fant man på å lage datamaskiner? Hvordan fant man på å lage datamaskiner? Manchester museum of science and industry: Deutsches Technikmuseum Berlin: Hvilken som var den første avhenger av hva man mener med en datamaskin. Antikythera Den

Detaljer

Versjon2.0/ ChipScope PRO - En kort innføring

Versjon2.0/ ChipScope PRO - En kort innføring Versjon2.0/29.09.2013 ChipScope PRO - En kort innføring Innhold Innledning...3 Generering av Chipscope kjerner...4 Generering av ICON (Integrated Controller) modul...6 Generering av ILA (Integrated Logic

Detaljer

Institiutt for informatikk og e-læring, NTNU Kontrollenheten Geir Ove Rosvold 4. januar 2016 Opphavsrett: Forfatter og Stiftelsen TISIP

Institiutt for informatikk og e-læring, NTNU Kontrollenheten Geir Ove Rosvold 4. januar 2016 Opphavsrett: Forfatter og Stiftelsen TISIP Geir Ove Rosvold 4. januar 2016 Opphavsrett: Forfatter og Stiftelsen TISIP Resymé: I denne leksjonen ser vi på kontrollenheten. s funksjon diskuteres, og vi ser på de to måtene en kontrollenhet kan bygges

Detaljer