Prøveeksamen 2. Elektronikk 24. mars Løsningsforslag

Like dokumenter
Eksamen i Elektronikk 24. Mai Løsningsforslag Knut Harald Nygaard

Prøveeksamen 2. Elektronikk 24. mars 2010

Ny og utsatt eksamen i Elektronikk 28. Juli Løsningsforslag Knut Harald Nygaard

Ny/Utsatt eksamen i Elektronikk 2. August Løsningsforslag Knut Harald Nygaard

Forslag B til løsning på eksamen FYS august 2004

Fakultet for teknologi, kunst og design Teknologiske fag

Fakultet for teknologi, kunst og design Teknologiske fag

Figur 1 viser et nettverk med et batteri på 18 volt, 2 silisiumdioder og 4 motstander.

Fakultet for teknologi, kunst og design Teknologiske fag

Fakultet for teknologi, kunst og design Teknologiske fag

Forslag til løsning på Eksamen FYS1210 våren 2008

FYS1210 Løsningsforslag Eksamen V2018

Forslag til løsning på eksamen i FY Forslag til løsning på eksamen i F -IN 204 og FY108 våren 2003.

g m = I C / V T g m = 1,5 ma / 25 mv = 60 ms ( r π = β / g m = 2k5 )

Forslag til løsning på eksamen FYS1210 våren Oppgave 1

Forslag til løsning på Eksamen FYS1210 våren 2004

EKSAMEN Løsningsforslag Emne: Fysikk og datateknikk

Fakultet for teknologi, kunst og design Teknologiske fag

Prøveeksamen 1. Elektronikk 8.feb Løsningsforslag

g m = I C / V T g m = 1,5 ma / 25 mv = 60 ms ( r π = β / g m = 3k3 )

Forslag til løsning på eksamen i FYS1210 våren 2005 side 1. Fig.1 viser et nettverk med to 9 volt batterier og 4 motstander, - alle på 1kΩ.

Løsningsforslag til eksamen FY108 høsten 2003

FYS Forslag til løsning på eksamen våren 2014

Forslag til løsning på eksamen FYS1210 våren 2010

g m = I C / V T = 60 ms r π = β / g m = 3k3

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

Løsningsforslag Elektronikk 1 (LO342E) høst 2006 eksamen 1. desember, 3timer

LAB 7: Operasjonsforsterkere

Forslag til løsning på eksamen FYS1210 høsten 2005

UNIVERSITETET I OSLO.

Fakultet for teknologi, kunst og design Teknologiske fag

Figur 1. 1e) Uten tilkopling på inngangene A og B - Hva er spenningen på katoden til dioden D1? 1,4 volt

Fakultet for teknologi, kunst og design Teknologiske fag

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

UNIVERSITETET I OSLO.

Lab 7 Operasjonsforsterkere

Fakultet for teknologi, kunst og design Teknologiske fag

Fasit og sensorveiledning eksamen INF1411 våren Oppgave 1 Strøm, spenning, kapasitans og resistans (Vekt 20 %) A) B) Figur 1

«OPERASJONSFORSTERKERE»

FYS1210 Løsningsforslag Eksamen V2017

Forslag til løsning på eksame n FY-IN 204 våren 2002

UNIVERSITETET I OSLO.

Forslag til løsning på eksamen FYS1210 V-2007 ( rev.2 )

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

«OPERASJONSFORSTERKERE»

UNIVERSITETET I OSLO.

UNIVERSITETET I OSLO

Forelesning nr.11 INF 1411 Elektroniske systemer

Fasit og sensorveiledning eksamen INF1411 våren Oppgave 1 Strøm, spenning, kapasitans og resistans (Vekt 20 %) A) B) Figur 1

UKE 5. Kondensatorer, kap. 12, s RC kretser, kap. 13, s Frekvensfilter, kap. 15, s kap. 16, s

TRANSISTORER Transistor forsterker

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO

FYS1210 Løsningsforslag. Eksamen V2015

PH-03. En MM Phono Forsterker

UNIVERSITETET I OSLO.

UNIVERSITETET I OSLO.

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO.

EKSAMEN. Emne: Fysikk og datateknikk

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

Oppsummering. BJT - forsterkere og operasjonsforsterkere

Kondensator - Capacitor. Kondensator - en komponent som kan lagre elektrisk ladning. Symbol. Kapasitet, C. 1volt

UKE 5. Kondensatorer, kap. 12, s RC kretser, kap. 13, s Frekvensfilter, kap. 15, s og kap. 16, s.

Fasit til Eksamen FY-IN 204 våren (avholdt høsten) 1998.

TRANSISTORER Transistor forsterker

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO.

Løsningsforslag til EKSAMEN

HØGSKOLEN I SØR-TRØNDELAG INGRID KVAKLAND AVD. FOR TEKNOLOGI INSTITUTT FOR ELEKTRO OG DATATEKNIKK 7005 TRONDHEIM

Kondensator - Capacitor. Kondensator - en komponent som kan lagre elektrisk ladning. Symbol. Kapasitet, C = 1volt

LABORATORIEØVELSE B FYS LINEÆR KRETSELEKTRONIKK 1. LAPLACE TRANSFORMASJON 2. AC-RESPONS OG BODEPLOT 3. WIENBROFILTER

UNIVERSITETET I OSLO.

Løsningsforslag eksamen inf 1410 våren 2009

UNIVERSITETET I OSLO

Innhold Oppgaver om AC analyse

Løsning eks Oppgave 1

«OPERASJONSFORSTERKERE»

INF1411 Oblig nr. 4 Vår 2011

Løsningsforslag eksamen EDT202T, Elektronikk 2, den

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

Gruppe: D1A Dato: Tid: Antall oppgavesider: 3 Antall vedleggsider : 0

Løsningsforslag til EKSAMEN

Lab 6 Klokkegenerator, tellerkretser og digital-analog omformer

Eksamensoppgaver i Elektronikk 1 - LO350E.

Forelesning nr.5 INF 1410

Forelesning nr.11 INF 1411 Elektroniske systemer. Måleteknikk Operasjonsforsterkere

Kondensator. Symbol. Lindem 22. jan. 2012

= 10 log{ } = 23 db. Lydtrykket avtar prop. med kvadratet av avstanden, dvs. endring ved øking fra 1 m til 16 m

Introduksjon til oppgave 1: Transistorkarakteristikken til BC-547

FYS1210 Løsningsforslag Eksamen V2015

= 10 log{ } = 20 db. Lydtrykket avtar prop. med kvadratet av avstanden, dvs. endring ved øking fra 1 m til 16 m

Synkron logikk. Sekvensiell logikk; to typer:

Forelesning nr.11 INF 1411 Elektroniske systemer. Måleteknikk Operasjonsforsterkere

Løsningsforslag til EKSAMEN

Forelesning nr.11 INF 1411 Elektroniske systemer. Måleteknikk Operasjonsforsterkere

Emnenavn: Fysikk og kjemi. Eksamenstid: 9:00 til 13:00. Faglærer: Erling P. Strand

Transistorkretser Laboratorieeksperimenter realfagseminar Sjøkrigsskolen 15. November 2010

UNIVERSITETET I OSLO

Transkript:

Prøveeksamen Elektronikk 4. mars øsningsforslag

OPPGAVE a) V SB 8 V/ 8 8 V/56 3,5 mv. b) xc 9 Utgangsspenning V o (9/56) 8 V 6 V. c) Utgangsspenning V o skal være lik for påtrykk x. Offset-feilen i SB er: OE SB 8 mv/3,5 mv,56 SB Offset-feilen i prosent av full utstyring er: OE % (8 mv/8 V) %, %. d) Utgangsspenningen skal være (55/56) 8 V 7,96875 V (lik 8 V 3,5 mv). Feilspenningen er V E 7,98 V 7,96875 V,5 mv. Feilen i SB og som prosent av full utstyring er: GE SB,5 mv/3,5 mv,36 SB GE % (,5 mv/8 V) %,4 %. e) Uten å ta høyde for andre feil, synes verdien på 4 V å være riktig for x8 inn. midlertid has en offset-feil på 5 mv som må trekkes fra alle analoge utgangsverdier. Justert utgangsverdi for x8 er V o 4,5 3,985 V. Feilen er balansert av offsetfeilen på 5 mv. inearitetsfeilen er da den samme for begge utgangsspenningene: E SB 5 mv/3,5 mv,48 SB E % (5 mv/8 V) %,88 %. OPPGAVE a) Med uendelig forsterkning i operasjonsforsterkeren, vil den inverterende inngangen ligge på virtuell jord. ermed vil det ikke gå noen strøm gjennom. Hele strømmen vil følgelig gå gjennom. Utgangsspenningen V o er da: V o

Med maksimalverdi V MS på V o for ma, kan finnes som: ( /) kω,4 kω b) empningen av frekvenser over 5 khz ønskes større enn db for et Butterworthfilter: lg n [ + ( ω / ω ) ] / / ( ) lg( ), 5 lg n lg( f / f ) db lg(5 / ) Et filter av grad n 3 må velges. for ω π k c) Filter av grad n 3 har polynomet: (s n + s n + )(s n + ) Første ordens filteret har transferfunksjonen gitt ved: H ( s) Cs + Vi kan følgelig velge n C n, der n og C n er normaliserte verdier. Videre kan vi da velge n C n. Andre ordens filteret har transferfunksjonen gitt ved: H ( + ) C s + ( s) 3C4C5s + 3 5 Vi kan følgelig velge n 3n C 4n C 5n ( n + 3n )C 5n med normaliserte verdier. Velges n 3n, fås C 4n og C 5n ½. Videre kan et fornuftig valg være kω og Ω πf π 3 rad/s. Vi får da følgende verdier: 3 kω (siden n / ) C 796 pf, C 4 59 pf, C 5 398 pf (siden C n Ω C)

d) emningen () ved hhv 4, 5 og khz er: 4kHz 5kHz 4kHz lg lg lg n [ + ( f / f ) ] lg [ + ( 4 / ) n [ + ( f / f ) ] lg [ + ( 5 / ) n [ + ( f / f ) lg [ + / 3 [ ] 3 [ ] 3 [ ] [ ( ) ] emningen øker med ca 8 db/oktav (6dB/dekade). 8, db 3,9 db 4,9 db > db OPPGAVE 3 a) Spenningsoverføringsfunksjonen (spenning ut/spenning inn) er: ( + ) C T + ( + ) H ( jω) + ( + ) + Cs + Ts H ( s) + + / Cs + Cs + T s T C jωc jωc T + jωt + jωt > T b) Ved høyere frekvenser (når C kan regnes kortsluttet) er spenningsforsterkningen gitt ved (X C /ωc ): H ( s) + 9 ( db) c) e to grensefrekvensene i overføringsfunksjonen ønskes lik f 5/π Hz og f 5/π Hz.: f f ω π πt ω π πt 5 π 5 π ms ms + T T 9

egg merke til at dette samsvarer med resultatet funnet i punkt b. nnsatt for C nf gir dette: 3 T kω 9 C 9 9 kω d) Kondensatoren C sperrer for likespenning; operasjonsforsterkeren er da koplet som en spenningsfølger med spenningsforsterkning lik (et er ideelt sett ikke noe spenningsfall over ). Overføringsfunksjonen (NB! Vinkelfrekvens i rad/sek) Bode iagram 5 Magnitude (db) 5 6 Phase (deg) 3-3 4 Frequency (rad/sec) OPPGAVE 4 a) deell operasjonsforsterker gir transferfunksjonen: H ( s) ( / + C s) + / C s ( + C s)( + C s) ( ) C s Cs + Cs q.e.d.

b) nnsettes s jω, fås: H ( jω) jωc ω / ω H ( jω) + ( + jωc) ( + jω / ω ) ( ω / ω ) jω / ω der ω / C Bodediagrammet er vist nedenfor for ω /C (normalisert). egg merke til at: ω / ω H ( jω ) + ( ω / ω ) (-6 db)

c) Grensefrekvensen bestemmes av: ω / ω H ( jω) + ω ω ( ω / ω ) ( ) og ω ω ( + ) H ( jω ) Båndbredden er da: B ω ω ω C OPPGAVE 5 ioden i figuren nedenfor må ha minimum 5 ma for å virke som en V zener. nnspenningen er 6 V og S ohm. a) Strømmen gjennom S : S 6 49 45 ma a er minste verdi på (5 ma går gjennom dioden):,4 5 ohm b) Strømmen til lasten er: 5,4 ma Strømmen gjennom zenerdioden og effekttapet P er da: P 45 ma,4 ma 4,6 ma V 4,6 ma,9 W nnspenningen V V, zenerspenningen V Z V, 4 kohm og S ohm. Transistorens base-emitterspenning V BE,7 V.

c) Utspenningen V over lasten : V VZ + VBE +,7,7 V Strømmen S gjennom S : S V V S,7 93 ma d) Strømmen til lasten er: V,7 4,7 ma a er transistorens emitterstrøm E : E S 93,7 9,3 ma iodestrømmen er lik basestrømmen B : B E 9,3,77 ma + β 5 e) nnspenning V V, zenerspenning V Z V og V 5 V spenning til lasten. Motstanden S er gitt ved:

S V VZ kω 5 Tilbakekoplingsmotstanden F blir: V F + F V V Z V Z 5 V 5 kω kω f) Emitterstrømmen i transistoren er gitt ved: E V V + + F 5 + + 5, 55 ma Effekttapet i transistoren er da: P Q ( V V ) ( 5) V 55 ma 775 mw E Operasjonsforsterkerens utgangsspenning ligger (U BE ),7 V høyere enn V, dvs. lik 5,7 V. Strømmen operasjonsforsterkeren må levere er lik basestrømmen: B E 55 3, ma + β 5 OPPGAVE 6 entity vhdl is generic (n : natural : 8); port (a : in std_logic_vector(n- downto ); b, c, d : in std_logic; e : out std_logic_vector(n- downto )); end entity vhdl; a) Blokkskjematisk skisse av entiteten (med påføring av inn- og utganger):

architecture post of vhdl is begin no: process (b, c, d) is begin if (c ') then e < (others > ''); elsif (d ') then e < (others > ''); elsif rising_edge(b) then e < a; end if; end process no; end architecture post; b) ette er et dataregister på n ( 8) bit med esett-inngang c og Sett-inngang d, som begge er aktivt lave. Klokkeinngangen er b, og på positiv flanke overføres data inn, kalt a, til utgangen e.