Prøveeksamen Elektronikk 4. mars øsningsforslag
OPPGAVE a) V SB 8 V/ 8 8 V/56 3,5 mv. b) xc 9 Utgangsspenning V o (9/56) 8 V 6 V. c) Utgangsspenning V o skal være lik for påtrykk x. Offset-feilen i SB er: OE SB 8 mv/3,5 mv,56 SB Offset-feilen i prosent av full utstyring er: OE % (8 mv/8 V) %, %. d) Utgangsspenningen skal være (55/56) 8 V 7,96875 V (lik 8 V 3,5 mv). Feilspenningen er V E 7,98 V 7,96875 V,5 mv. Feilen i SB og som prosent av full utstyring er: GE SB,5 mv/3,5 mv,36 SB GE % (,5 mv/8 V) %,4 %. e) Uten å ta høyde for andre feil, synes verdien på 4 V å være riktig for x8 inn. midlertid has en offset-feil på 5 mv som må trekkes fra alle analoge utgangsverdier. Justert utgangsverdi for x8 er V o 4,5 3,985 V. Feilen er balansert av offsetfeilen på 5 mv. inearitetsfeilen er da den samme for begge utgangsspenningene: E SB 5 mv/3,5 mv,48 SB E % (5 mv/8 V) %,88 %. OPPGAVE a) Med uendelig forsterkning i operasjonsforsterkeren, vil den inverterende inngangen ligge på virtuell jord. ermed vil det ikke gå noen strøm gjennom. Hele strømmen vil følgelig gå gjennom. Utgangsspenningen V o er da: V o
Med maksimalverdi V MS på V o for ma, kan finnes som: ( /) kω,4 kω b) empningen av frekvenser over 5 khz ønskes større enn db for et Butterworthfilter: lg n [ + ( ω / ω ) ] / / ( ) lg( ), 5 lg n lg( f / f ) db lg(5 / ) Et filter av grad n 3 må velges. for ω π k c) Filter av grad n 3 har polynomet: (s n + s n + )(s n + ) Første ordens filteret har transferfunksjonen gitt ved: H ( s) Cs + Vi kan følgelig velge n C n, der n og C n er normaliserte verdier. Videre kan vi da velge n C n. Andre ordens filteret har transferfunksjonen gitt ved: H ( + ) C s + ( s) 3C4C5s + 3 5 Vi kan følgelig velge n 3n C 4n C 5n ( n + 3n )C 5n med normaliserte verdier. Velges n 3n, fås C 4n og C 5n ½. Videre kan et fornuftig valg være kω og Ω πf π 3 rad/s. Vi får da følgende verdier: 3 kω (siden n / ) C 796 pf, C 4 59 pf, C 5 398 pf (siden C n Ω C)
d) emningen () ved hhv 4, 5 og khz er: 4kHz 5kHz 4kHz lg lg lg n [ + ( f / f ) ] lg [ + ( 4 / ) n [ + ( f / f ) ] lg [ + ( 5 / ) n [ + ( f / f ) lg [ + / 3 [ ] 3 [ ] 3 [ ] [ ( ) ] emningen øker med ca 8 db/oktav (6dB/dekade). 8, db 3,9 db 4,9 db > db OPPGAVE 3 a) Spenningsoverføringsfunksjonen (spenning ut/spenning inn) er: ( + ) C T + ( + ) H ( jω) + ( + ) + Cs + Ts H ( s) + + / Cs + Cs + T s T C jωc jωc T + jωt + jωt > T b) Ved høyere frekvenser (når C kan regnes kortsluttet) er spenningsforsterkningen gitt ved (X C /ωc ): H ( s) + 9 ( db) c) e to grensefrekvensene i overføringsfunksjonen ønskes lik f 5/π Hz og f 5/π Hz.: f f ω π πt ω π πt 5 π 5 π ms ms + T T 9
egg merke til at dette samsvarer med resultatet funnet i punkt b. nnsatt for C nf gir dette: 3 T kω 9 C 9 9 kω d) Kondensatoren C sperrer for likespenning; operasjonsforsterkeren er da koplet som en spenningsfølger med spenningsforsterkning lik (et er ideelt sett ikke noe spenningsfall over ). Overføringsfunksjonen (NB! Vinkelfrekvens i rad/sek) Bode iagram 5 Magnitude (db) 5 6 Phase (deg) 3-3 4 Frequency (rad/sec) OPPGAVE 4 a) deell operasjonsforsterker gir transferfunksjonen: H ( s) ( / + C s) + / C s ( + C s)( + C s) ( ) C s Cs + Cs q.e.d.
b) nnsettes s jω, fås: H ( jω) jωc ω / ω H ( jω) + ( + jωc) ( + jω / ω ) ( ω / ω ) jω / ω der ω / C Bodediagrammet er vist nedenfor for ω /C (normalisert). egg merke til at: ω / ω H ( jω ) + ( ω / ω ) (-6 db)
c) Grensefrekvensen bestemmes av: ω / ω H ( jω) + ω ω ( ω / ω ) ( ) og ω ω ( + ) H ( jω ) Båndbredden er da: B ω ω ω C OPPGAVE 5 ioden i figuren nedenfor må ha minimum 5 ma for å virke som en V zener. nnspenningen er 6 V og S ohm. a) Strømmen gjennom S : S 6 49 45 ma a er minste verdi på (5 ma går gjennom dioden):,4 5 ohm b) Strømmen til lasten er: 5,4 ma Strømmen gjennom zenerdioden og effekttapet P er da: P 45 ma,4 ma 4,6 ma V 4,6 ma,9 W nnspenningen V V, zenerspenningen V Z V, 4 kohm og S ohm. Transistorens base-emitterspenning V BE,7 V.
c) Utspenningen V over lasten : V VZ + VBE +,7,7 V Strømmen S gjennom S : S V V S,7 93 ma d) Strømmen til lasten er: V,7 4,7 ma a er transistorens emitterstrøm E : E S 93,7 9,3 ma iodestrømmen er lik basestrømmen B : B E 9,3,77 ma + β 5 e) nnspenning V V, zenerspenning V Z V og V 5 V spenning til lasten. Motstanden S er gitt ved:
S V VZ kω 5 Tilbakekoplingsmotstanden F blir: V F + F V V Z V Z 5 V 5 kω kω f) Emitterstrømmen i transistoren er gitt ved: E V V + + F 5 + + 5, 55 ma Effekttapet i transistoren er da: P Q ( V V ) ( 5) V 55 ma 775 mw E Operasjonsforsterkerens utgangsspenning ligger (U BE ),7 V høyere enn V, dvs. lik 5,7 V. Strømmen operasjonsforsterkeren må levere er lik basestrømmen: B E 55 3, ma + β 5 OPPGAVE 6 entity vhdl is generic (n : natural : 8); port (a : in std_logic_vector(n- downto ); b, c, d : in std_logic; e : out std_logic_vector(n- downto )); end entity vhdl; a) Blokkskjematisk skisse av entiteten (med påføring av inn- og utganger):
architecture post of vhdl is begin no: process (b, c, d) is begin if (c ') then e < (others > ''); elsif (d ') then e < (others > ''); elsif rising_edge(b) then e < a; end if; end process no; end architecture post; b) ette er et dataregister på n ( 8) bit med esett-inngang c og Sett-inngang d, som begge er aktivt lave. Klokkeinngangen er b, og på positiv flanke overføres data inn, kalt a, til utgangen e.