UNIVERSITETET I OSLO

Like dokumenter
UNIVERSITETET I OSLO

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO

Design med ASIC og FPGA (Max kap.7 og 18)

Design med ASIC og FPGA (Max kap.7 og 18)

MAX MIN RESET. 7 Data Inn Data Ut. Load

UNIVERSITETET I OSLO

Simulering, syntese og verifikasjon (Max kap. 19)

UNIVERSITETET I OSLO

Avanserte byggeblokker (Maxfield kap.13 og 17)

UNIVERSITETET I OSLO

Eksamensoppgave i TDT4258 Energieffektive Datamaskinsystemer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO

Fys 3270/4270 høsten Laboppgave 2: Grunnleggende VHDL programmering. Styring av testkortets IO enheter.

INF 3430/4430. Viktige momenter i syntese og for valg av teknologi

UNIVERSITETET I OSLO

Det matematisk-naturvitenskapelige fakultet

TDT4160 AUGUST, 2008, 09:00 13:00

Det matematisk-naturvitenskapelige fakultet. INF4431 Digital systemkonstruksjon

Eksamensoppgave i TDT4258 Energieffektive Datamaskinsystemer

TDT4160 Datamaskiner Grunnkurs Gunnar Tufte

INF3430. VHDL byggeblokker og testbenker

EKSAMEN I TDT4160 DATAMASKINER GRUNNKURS

Dagens temaer. Dagens temaer hentes fra kapittel 3 i Computer Organisation and Architecture. Sekvensiell logikk. Flip-flop er

EKSAMEN I FAG TFE4101 KRETS- OG DIGITALTEKNIKK

Eksamen i emne TFE4110 DIGITALTEKNIKK MED KRETSTEKNIKK Fredag 21. mai 2004 Tid. Kl

MIK 200 Anvendt signalbehandling, Lab. 5, brytere, lysdioder og logikk.

UNIVERSITETET I OSLO

Digital logic level: Oppsummering

INF3340/4431. Tilstandsmaskiner

Dagens temaer. Sekvensiell logikk: Kretser med minne. D-flipflop: Forbedring av RS-latch

TDT4258 Eksamen vår 2013

Dagens temaer. Architecture INF ! Dagens temaer hentes fra kapittel 3 i Computer Organisation and

IN1020. Sekvensiell Logikk

UNIVERSITETET I OSLO

AVSLUTTENDE EKSAMEN I. TDT4160 Datamaskiner Grunnkurs. Torsdag 29. November 2007 Kl

Fys 3/4270 høsten Beskrivelse av Fys 3/4270 Cypress Testkort

INF3430/4431. Kretsteknologier Max. kap. 3

TDT4160 OG IT2201 DATAMASKINER GRUNNKURS EKSAMEN

INF3430/4431. VHDL byggeblokker og testbenker

Oppsummering av digitalteknikkdelen

Oppgave 2 Maskinkode (vekt 12%)

UNIVERSITETET I OSLO

Dagens temaer. temaer hentes fra kapittel 3 i Computer Organisation. av sekvensielle kretser. and Architecture. Tilstandsdiagram.

INF2270. Datamaskin Arkitektur

Tilstandsmaskiner (FSM) Kapittel 5

INF3430/4430. Grunnleggende VHDL

, ~', -~ lalle trykte og skrevne hjelpemidler. I Kalkulator som ikke kan kommunisere med andre.

INF3430/4430. Grunnleggende VHDL. 11-Sep-06

TDT4160 DATAMASKINER GRUNNKURS EKSAMEN

INF2270. Datamaskin Arkitektur

Forelesning 9. Registre, tellere og minne

Eksamensoppgave i TDT4258 Energieffektive datamaskinsystemer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO

Dagems temaer. kapittel 4 i Computer Organisation and Architecture. av CPU: von Neuman-modellen. Transfer Language (RTL) om hurtigminne (RAM)

Programmerbar logikk. CPLD og FPGA. Fys3270(4270)

Dagens tema. Dagens tema hentes fra kapittel 3 i Computer Organisation and Architecture. Sekvensiell logikk. Flip-flop er. Tellere og registre

Digitalstyring sammendrag

1. del av Del - EKSAMEN

UNIVERSITETET I OSLO

SRAM basert FPGA INF H10 1

En mengde andre typer som DVD, CD, FPGA, Flash, (E)PROM etc. (Kommer. Hukommelse finnes i mange varianter avhengig av hva de skal brukes til:

INF3430/4431. Viktige momenter i syntese og for valg av teknologi Chipscope

Løsningsforslag til eksamen i INF2270

UNIVERSITETET I OSLO

Dagens temaer. Fra kapittel 4 i Computer Organisation and Architecture. Kort om hurtigminne (RAM) Organisering av CPU: von Neuman-modellen

Dagems temaer INF ! Fra kapittel 4 i Computer Organisation and Architecture. ! Kort om hurtigminne (RAM)

AVSLUTTENDE EKSAMEN I. TDT4160 Datamaskiner Grunnkurs Løsningsforslag. Torsdag 29. November 2007 Kl

INF2270. Sekvensiell Logikk

INF3430. Funksjoner og prosedyrer Standardbiblioteker Komplekse sekvensielle systemer

UNIVERSITETET I OSLO

INF 3430/4430. Simuleringsmetodikk

Emnenavn: Datateknikk. Eksamenstid: 3 timer. Faglærer: Robert Roppestad. består av 5 sider inklusiv denne forsiden, samt 1 vedleggside.

SIE 4005, 8/10 (3. Forelesn.)

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

hvor mye hurtigminne (RAM) CPU en kan nyttiggjøre seg av. mens bit ene betraktet under ett kalles vanligvis et ord.

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO

FYS 3270(4270) Data-assistert konstruksjon av kretselektronikk (tidligere Fys 329) Fys3270(4270)

Kontinuasjonseksamen i emne TFE4110 DIGITALTEKNIKK MED KRETSTEKNIKK

UNIVERSITETET I OSLO

Synkron logikk. Sekvensiell logikk; to typer:

UNIVERSITETET I OSLO

Eksamen INF2270 våren 2018

Maskinvaredelen av INF 103: oversikt og innhold (1)

Seksjon 1. INF2270-V16 Forside. Eksamen INF2270. Dato 1. juni 2016 Tid Alle trykte og skrevne hjelpemidler, og en kalkulator, er tillatt.

Kontinuasjonseksamen i emne TFE4110 DIGITALTEKNIKK MED KRETSTEKNIKK

Løsningsforslag INF1400 H04

F = a bc + abc + ab c + a b c

IN1020. Datamaskinarkitektur

INF3340/4340. Synkrone design Tilstandsmaskiner

UNIVERSITETET I OSLO

Transkript:

Side 1 av 8 UNIVERSITETET I OSLO et matematisk-naturvitenskapelige fakultet Eksamen i: INF3430/INF4430 igital systemkonstruksjon Eksamensdag: 6. desember 2007 Tid for eksamen: 9-12 Oppgavesettet er på 8 sider Vedlegg: 1 Tillatte hjelpemidler: Ingen Kontroller at oppgavesettet er komplett før du begynner å besvare spørsmålene. Oppgaveteksten består av oppgave 1 14 (flervalgsoppgaver) som skal besvares på skjemaet som er vedlagt etter oppgaveteksten og oppgave 15 som besvares på vanlige ark. Oppgave 1-14 har til sammen vekt på 40%, mens oppgave 15 har vekt på 60%. Generelt for oppgave 1-14: Hver oppgave består av et tema i venstre kolonne og en del utsagn hver angitt med en stor bokstav. Oppgavene besvares ved å merke tydelige kryss (X) i rett kolonne for riktig svaralternativ (dvs. at et utsagn er sant) i skjemaet i vedlegget. et er alltid minst en riktig avmerking for hver oppgave, men det er ofte flere riktige avmerkninger. For å få best karakter skal man sette flere kryss i en oppgave hvis det er flere riktige utsagn. et gis 1 poeng for hver avkrysning der det skal være avkrysning. et gis -1 poeng for hver avkrysning der det ikke skal være avkrysning. Mangel på kryss der det skal være kryss gir også -1 poeng. u kan benytte høyre kolonne i oppgaveteksten til kladd. Skjema påført ditt kandidatnummer i vedlegget er din besvarelse. Oppgave 1 Kretsteknologier En logikkblokk i en FPG består normalt av en Look-Up Table (LUT) etterfulgt av en vippe (flipflop) En PL består av OR-porter etterfulgt av Nporter I en PL er tilkoblingene til N-portene ikke programmerbare I en full custom SI har designeren full kontroll over hvert maskelag i kretsen

Oppgave 2 Lagringsteknologi E I en PL lagres normalt konfigurasjonen i SRM En FPG basert på antifuse-teknologi er ikke reprogrammerbar En FPG basert på antifuse-teknologi kan ikke slettes med UV-lys En EPROM kan slette sitt innhold med en høy spenning En SRM kan kun programmeres et begrenset antall ganger Side 2 av 8 Oppgave 3 Konfigurasjon av FPG En FPG i master-modus styrer selv nedlastning av konfigurasjonen ved oppstart aisy-chaining gjør at flere FPG-er kan ha et felles konfigurasjonsminne En FPG må alltid konfigureres parallelt hvis den er i slave-modus JTG-porten er egentlig tiltenkt testing men kan også brukes til konfigurasjon Oppgave 4 Optimalisert FPG design E Selv om antall input til en funksjon er konstant, øker forbruket av logikk med kompleksiteten til funksjonen ntall nivåer med logikk i en FPG mellom klokkede vipper har betydning for maksimal klokkefrekvensen Klokketre i en FPG bør unngåes hvis en skal lage et effektivt synkront design edikert mentelogikk kobler sammen logikk for hurtig menteforplantning ruk av dedikert mentelogikk gjør at det blir mindre tilgjengelig logikk i FPG-en og bruken bør derfor begrenses Oppgave 5 En 3-input Xilinx N funksjon LUT (look-up table) OR funksjon med innhold 7F NN funksjon (hex) realiserer en NOR funksjon

Oppgave 6 Prosessorkjerner En hard kjerne er implementert fysisk i FPG-en ved produksjon av kretsen Kombinasjon av prosessor og logikk på en FPG gir liten fleksibilitet i bestemmelsen av hva som blir programvare og hva som blir maskinvare Separat buss mellom prosessor og minne gir lite gevinst og bør unngåes Integrering av et helt system på en krets gir en mer kompakt løsning som også prismessig kan være gunstig Side 3 av 8 Oppgave 7 Virtuelle komponenter/ Intellectual Property En IP gitt som ikke-kryptert kildekode er normalt mer effektiv enn en IP gitt som forhåndsrutet IP Intellectual Property er betegnelsen på ferdigutviklede blokker Microlaze er eksempel på en IP et er enkelt å gjenbruke en IP fra en FPGprodusent på kretser fra andre produsenter Oppgave 8 Sykelbasert simulering ette er et alternativ til hendelsesbasert simulering En dropper å simulere hver hendelse i en krets men benytter boolske uttrykk på inngangene til registre Metoden kan kombineres med hendelsesdrevet simulering for simulering av en krets En ulempe, sammenlignet med alternative måter å simulere på, er at tiden for simulering øker betydelig Oppgave 9 Syntese Syntese gjøres normalt etter place-and-route Syntese med informasjon om faktiske tidsforsinkelser i FPG-en kan gi høyere maksimal klokkefrekvens Plassering av registre (vipper) i forhold til logikk har normalt ingen betydning for ytelsen Resyntese for optimalisering av kritisk signalvei kan være gunstig Oppgave 10 System E Språket er definert av en spesifikk verktøyleverandør som selger designverktøy Språket er basert på /++ Språket er bedre egnet til verifikasjon enn syntese Språket kan spesifisere kode på flere abstraksjonsnivåer enn VHL System brukes i dag like ofte som VHL for FPG design

Side 4 av 8 Oppgave 11 Kodestil for FPG og SI Samlebåndsprosessering (pipelining) kan være med på å øke maksimal klokkefrekvens i et design Samlebåndsprosessering (pipelining) vil ofte medføre at en bruker færre vipper i et design Tilbakekoblingssløyfer der vipper inngår må ikke brukes i en FPG synkront design er mulig i en SI, men anbefales ikke i en FPG Oppgave 12 Valg mellom SI og FPG Oppgave 13 Høyhastighets serielinker Oppgave 14 Rekonfigurering av aktiv FPG E FPG er bedre enn SI ved komplekse design et er bedre plass i en SI enn i en FPG når kretsene har omtrent samme fysiske størrelse Prototyping av SI på FPG bør unngåes på grunn av forskjell i kodestil SI har lang utviklingstid men de første kretsene er billige å produsere Grunnen til at en overført firkantpuls ved høy datarate kan bli lik et sinussignal er at høyfrekvent frekvensinnhold har blitt kraftig dempet Konfigurasjon av parametere i transceiver muliggjør design med forskjellige kommunikasjonsstandarder Pre-emphasis motvirker dempning i overført signal omma -tegn brukes for å dele opp lange bitstrenger Virtuell maskinvare er en betegnelse som brukes om denne teknikken Teknikken muliggjør å kunne utføre en større oppgave enn det kretsen tilsynelatende har logikk til Effektforbruket kan ofte øke ved denne metoden Lang rekonfigureringstid er en av hovedutfordringene et vil være ønskelig med denne metoden å rekonfigurere hele kretsen og ikke kun en begrenset del av den

Side 5 av 8 Oppgave 15 Vi skal i denne oppgaven konstruere deler av et grensesnitt som skal styre eksternt minne og/eller input/output kretser som er koblet til en FPG. Vi vil referere til dette som I/O-grensesnittet. I/Ogrensesnittet skal være del av en mikrokontroller i FPGen. Figur 1 viser en oversikt over I/O-grensesnittet. e gråskraverte boksene i figuren viser de delene av I/O-grensesnittet vi skal konsentrere oss om i de påfølgende oppgavene. FPG S_EN 17-16 ddress decoder ns3 ns2 ns1 ns0 I17-0 LO REG ddressregister 15-0 Tri-state buffer O7-0 LO_O_REG ata output register 7-0 I7-0 LO_I_REG ata input register RE WRITE IO-controller Finite State Machine O_EN S_EN LO REG LO_O_REG LO_I_REG nwr nr REY Figur 1. I/O-grensesnittet

Side 6 av 8 Tabell 1. Signaler i I/O-grensesnittet Signalnavn eskrivelse Retning Klokke og reset er ikke vist i figur 1 LK 50MHz systemklokke Input til alle registre RESET synkron reset. ktivt høyt Input til alle registre Eksterne signaler: 15-0 dresse signaler Output 7-0 ata signaler Input/Output/Tri-state nsi, i=0,1,2,3 hip select signaler. enyttes for å Output fra adressedekoder adressere eksternt minne eller I/O. ktivt lave nwr Write strobe. ktivt lavt Output fra tilstandsmaskin nr Read strobe. ktivt lavt. Output fra tilstandsmaskin REY Viser om en I/O krets har data klare eller er klar til å ta i mot data. ktivt høyt. enyttes for å forlenge en les eller skriv I/O operasjon ved å sette inn ventetilstander (Wait states). Input til tilstandsmaskin. Interne signaler: RE Starter en leseoperasjon fra I/O Input til tilstandsmaskin grensesnittet. ktivt høyt WRITE Starter en skriveoperasjon til I/O Input til tilstandsmaskin grensesnittet. ktivt høyt I17-0 Interne adressesignaler. Input til adresseregisteret og adressedekoderen O7-0 ata output signaler Input til data output registeret I7-0 ata input signaler Output fra data input registeret S_EN Enabler nsi, i=0,1,2,3 Output fra tilstandsmaskinen LO REG Lagrer adressene I i Output fra tilstandsmaskinen adresseregistret. ktivt høyt LO_O_REG Lagrer output data i data out Output fra tilstandsmaskinen registeret. ktivt høyt O_EN Styrer output tri-state buffer Output fra tilstandsmaskinen LO_I_REG Lagrer input data i data input register. ktivt høyt. Output fra tilstandsmaskinen

dressedekoderen "ddress decoder" skal virke i henhold til sannhetstabellen under. Side 7 av 8 Sannhetstabell 1. dressedekoderen Inputs Outputs S_EN 17-16 ns0 ns1 ns2 ns3 0 X 1 1 1 1 1 00 0 1 1 1 1 01 1 0 1 1 1 10 1 1 0 1 1 11 1 1 1 0 En les eller skriv I/O-operasjon er bygd opp av flere tilstander og starter med at RE- eller WRITEsignalet går aktivt. RE og WRITE kommer fra en tilstandmaskin som eksekverer programmer og er ikke aktive samtidig. Etter at RE/WRITE har vært aktiv skal de interne adressesignalene, I17-0, lagres i adresseregisteret styrt av signalet LO REG. 15-0 føres ut på pinner, mens 17-16 sammen med S_EN er input til adressedekoderen som gir output i henhold til sannhetstabell 1. Resten av les eller skriv operasjonen skal følge timingdiagram 1 under. Legg merke til at en les eller skriv operasjon forlenges dersom REY-signalet er lavt når nr eller nwr er aktivt. enytt signalnavn som angitt over når du løser de etterfølgende oppgavene. Timingdiagram 1

Side 8 av 8 15a). Vekt 10% Implementer sannhetstabell 1 ved å benytte en process i VHL. u trenger ikke å ta med entiteten. Vi skal nå designe en tilstandsmaskin IO-controller for å lage kontrollsignalene til I/O grensesnittet. Vi skal begrense oss til kontrollsignalene: LO REG, S_EN, nr og nwr i I/O-grensesnittet. 15b). Vekt 20% Tegn et SM flytdiagram som beskriver tilstandsmaskinen gitt av tekst og timingdiagram over. 15c). Vekt 20% Implementer tilstandsmaskinen beskrevet i SM flytdiagrammet i 15b) i VHL. u trenger ikke å ta med entiteten. 15d). Vekt 10% Skissèr en testbenk for å verifisere tilstandsmaskinen (du skal ikke lage en komplett testbenk).

INF3430/INF4430 Oppgavesvar for kandidat nr: Vedlegg Side 9 av 18 Oppgave E 1 2 3 4 5 6 7 8 9 10 11 12 13 14