1 Innhold QUICK START GUIDE FOR QUARTUS II. Prosjektgruppe 59 Side 3 av 30 1 INNHOLD 3 2 ALTERA QUARTUS II. 4

Størrelse: px
Begynne med side:

Download "1 Innhold QUICK START GUIDE FOR QUARTUS II. Prosjektgruppe 59 Side 3 av 30 1 INNHOLD 3 2 ALTERA QUARTUS II. 4"

Transkript

1

2

3 1 Innhold 1 INNHOLD 3 2 ALTERA QUARTUS II NYTT PROSJEKT NY FIL TIL ET EKSISTERENDE PROSJEKT BRUK AV BLOKKBASERT BESKRIVELSE LAGE EGNE FUNKSJONER TILORDNING AV PINNER KOMPILERING OG PROGRAMMERING AV FPGA SIMULERING AV MASKINVARE BESKRIVELSE. 19 Prosjektgruppe 59 Side 3 av 30

4 2 Altera Quartus II Quartus II er et kraftig utviklingsverktøy for Alteras FPGAer og CPLDer. Programmet er lisensiert, men finnes i en strippet gratisversjon på Internett. Denne gratisversjonen har fått betegnelsen Web Edition. Den største forskjellen mellom disse versjonene er at gratis versjonen ikke har de samme mulighetene til simulering av design. Dette på grunn av SignalTap II funksjonen ikke er med i denne versjonen. Utseendemessig er disse veldig like. For å programmere kretsen er det i tillegg nødvendig med en kabel som kobler enheten til datamaskinen. Kablene finnes i forskjellige versjoner, RS232 (seriell), USB og parallellport. Det finnes blant annet to typer parallellkabler, der det er viktig å ha riktig type kabel til den kretsen man skal programmere. Valg av kabel er godt forklart i databladene til kretsene. Figur 1 Oppstartsvinduet i Quartus II Side 4 av 30 Prosjektgruppe 59

5 2.1 Nytt Prosjekt Når en skal beskrive en maskinvare med Quartus II er det best å sortere disse beskrivelsene i såkalte prosjekter. For å lage et nytt prosjekt gjøres dette slik: File -> New Project Wizard Da kommer det opp et hjelpevindu der en del parametrer settes opp for prosjektet. Figur 2 Første side i hjelpevindu for nytt prosjekt Den første siden kan man se i figur 2. Her må en fylle ut: Sti til katalogen som prosjektet skal ligge i. Det er lurt å lage ny katalog for hvert prosjekt. Prosjektets navn. Velg et entydig og beskrivende navn for prosjektet. Quartus II liker ikke space, så prøv å holde seg unna dette tegnet. Bruk heller _. Navnet til toppnivå entiteten (øverste nivå) i designet. Dette er navnet til den filen som ligger øverst i designet. Ofte vil dette navnet være likt prosjektnavnet. Prosjektgruppe 59 Side 5 av 30

6 Figur 3 Andre side i hjelpevindu for nytt prosjekt På den andre siden av hjelpevinduet kan en legge til filer som er ønskelig å ha med i prosjektet. Disse filene kan være ferdiglagde filer en har laget i andre prosjekter. Filene kan være blokkskjema filer, VHDL, AHDL, Verilog HDL osv. Side 6 av 30 Prosjektgruppe 59

7 Figur 4 Tredje side av hjelpevindu for nytt prosjekt. EDA (Electronic Design Automation) er tredjeparts tilleggsverktøy, som kan benyttes i stede for Quartus IIs innebygde funksjoner. Disse tilleggsverktøyene kan brukes til simulering, kompilering osv. Mange av disse tilleggsverktøyene er med i Quartus II programpakken. Hvis det er interessert å bruke disse tilleggsverktøyene velger en hvilke funksjoner og verktøy som skal benyttes på denne siden. Prosjektgruppe 59 Side 7 av 30

8 Figur 5 Fjerde side av hjelpevindu for nytt prosjekt På den fjerde siden av hjelpevinduet velger en hvilken familie FPGAen/CPLDen er fra. Trykk Yes for å bestemme selv hvilken brikke som skal benyttes. Side 8 av 30 Prosjektgruppe 59

9 Figur 6 Femte side av hjelpevindu for nytt prosjekt. Her velges brikken som skal benyttes. I filterdelen av vinduet kan en filtrere bort alle typer som ikke har en spesiell pakke, pinneantall eller speed grade. Velg riktig type og fortsett til neste side. Prosjektgruppe 59 Side 9 av 30

10 Figur 7 Sjette side av hjelpevindu for nytt prosjekt. Denne siden er bare en oppsummeringsside der de viktigste valgene presenteres. Trykk Finish og start beskrivelsen av maskinvaren. 2.2 Ny fil til et eksisterende prosjekt. Når en skal lage en ny fil for maskinvarebeskrivelsen kan dette gjøres på flere måter. Man kan benytte knappene på knapperadene i Quartus II eller File -> New (Ctrl+N). Knappene som kan benytte finnes i figur 8. Side 10 av 30 Prosjektgruppe 59

11 Figur 8 Oppstartsvinduet i Quartus II Ved å bruke knappen New eller å velge New under File menyen kommer et hjelpevindu der en må velge hvilken filtype som skal lages. Figur 9 Meny ved valg av New Det er filene under Device Design Files en får bruk for oftest. Her kan man velge AHDL, VHDL, Verilog HDL filer eller blokkbasert beskrivelse av maskinvaren. Prosjektgruppe 59 Side 11 av 30

12 Ved å velge New textfile kommer det opp et vindu som ligner en tekst editor, der en kan beskrive maskinvaren i VHDL, AHDL eller Verilog HDL. Etter å ha skrevet inn beskrivelsen i det språket en ønsker, velges riktig filtype ved lagring av filen. Dette gjør at Quartus II kjenner igjen språket ved kompileringen. Ved å velge New block diagram/schematic file kommer et tomt blokkskjema opp som en kan lime symbolene inn i. 2.3 Bruk av Blokkbasert beskrivelse Altera anbefaler alle å benytte blokkbasert beskrivelse av maskinvaren da denne er enklere en de tekst baserte språkene. Beskrivelsen foretas ved å lime inn logiske symboler og blokker, og lage forbindelser mellom disse. Figur 10 Skjermbilde ved Blokkbasert beskrivelse. Ved å trykke på Symbol tool, vil det komme opp en meny over de innebygde funksjonene i Quartus II. Side 12 av 30 Prosjektgruppe 59

13 Figur 11 Symbolmenyen I denne symbolmenyen vil en i vinduet Libraries få opp symbolbibliotekene i dette prosjektet. Dette biblioteket er ofte delt inn i to. Den ene delen er de innebygde funksjonene i Quartus II, mens den andre er blokker som en har laget i det aktive prosjektet. De egenproduserte blokkene skal utdypes senere. Det innbygde biblioteket inneholder 3 typer forskjellige grupper av funksjoner: Megafunctions inneholder funksjoner der en kan tilpasse pinner og funksjoner ved hjelp av den såkalte Megawizard Plug-in-Manager. Her får en opp en hjelpemeny der en setter opp funksjonen, antall pinner osv. Others inneholder funksjoner som er laget for Max Plus II, men kan også brukes i Quartus II. Dette biblioteket inneholder bl.a. et stort utvalg av 74XX serien. Primitives inneholder de såkalt primitivene som kan brukes i designet. Dette er funksjoner som AND, OR, L-cell (forsinkelse), vipper, I/O-pinner osv. Ønskes funksjonen flere ganger i samme blokkskjema, huk av valget Repeatinsert mode. Da slipper en å gå inn i symbolmenyen flere ganger for å hente samme funksjonen. Når denne funksjonen bindes er det to hovedforbindelser å velge mellom. Den ene er vanlig entrådsforbindelse. Denne velges ved å bruke Orthogonal Node Tool. Den andre forbindelsen er en bussforbindelse og velges ved å bruke Orthogonal Bus Tool. Husk å navngi de forskjellige lederne i bussen hvis denne splittes opp. Dette kan man lese mer om i hjelpefunksjonen til Quartus II. Prosjektgruppe 59 Side 13 av 30

14 2.4 Lage egne funksjoner Noen ganger kan det være lurt å lage egne funksjoner til senere bruk. Dette kan være funksjoner som blir brukt forskjellige steder i maskinvarebeskrivelsen, men ikke finnes i de innebygde bibliotekene. Disse funksjonene har samme funksjon som sub-rutiner i vanlig programmering. Først lages funksjonen i et eget blokkskjema/egen tekst fil. Husk på å ta med I/O-pinner, men ikke tilordne disse fysiske pinner. Figur 12 Ferdig blokkskjema. Deretter lages et blokksymbol til dette blokkeskjemaet. Dette gjøres ved å velge File Create/Update Create symbolfile for current file. Symbolet for denne funksjonen finnes nå i symbolmenyen. Side 14 av 30 Prosjektgruppe 59

15 Figur 13 Symbolet til funksjonene Hvis det er ønskelig å editere blokksymbolet kan dette gjøres ved å merke symbolet og trykk høyre musetast. Velg deretter Edit selected symbol. I denne editoren kan en endre størrelse, flytte pinner og forandre utseende på symbolet. 2.5 Tilordning av pinner Før maskinvarebeskrivelsen kan overføres må I/O-pinner tilordnes. Det betyr at alle inn og utganger i maskinvareskrivelsen må tilknyttes en egen I/O-pinne på brikken. Det man først bør gjøre er å gi alle pinnene et entydig navn slik at disse er lett å kjenne igjen senere. Navnsetting gjøres ved å merke I/O-pinnen, trykke høyre musetast og velg Properties. Meny som figur 14 viser kommer da opp. Prosjektgruppe 59 Side 15 av 30

16 Figur 14 Pin properties Navnet til pinnen fylles ut i blokken Pin name, og verdien Default value, kan man sette den verdien man vil at pinnen skal ha, dersom I/O-pinnen ikke blir tilkoblet. For å tilordne I/O-pinnene, må man første merke I/O-pinnene. For å merke flere pinner hold Shift knappen nede, mens en trykker på I/O-pinnene. Velg så Assignmens -> Assignments editor. Side 16 av 30 Prosjektgruppe 59

17 Figur 15 Assignment editor Trykk på Pin knappen i Assignment Editor øverst til venstre i vinduet. Da kommer det opp en liste over pinner som kan tilordnes. I kolonnen Location velges hvilken pinne på brikken som skal tilordne til. Etter å ha tilordnet alle pinnene lagres dokumentet, og editoren lukkes ved å trykke på nederste krysset i øverst høyre hjørne. 2.6 Kompilering og programmering av FPGA. Før maskinvarebeskrivelsen kan overføres til FPGAen må denne kompileres. Dette kan gjøres på flere måter. Den enkleste er å starte Compiler Tool. Dette gjøres ved å velge Tools Compiler Tool. En vil da få opp Compiler Tool menyen, se figur 16. Prosjektgruppe 59 Side 17 av 30

18 Figur 16 Programming tool Trykk Start Compilation for å starte kompileringen. Når kompileringen er over kommer det opp melding om dette. Maskinvarebeskrivelsen er nå klar til å legges inn i FPGAen. Sjekk før programmeringen er at kabelen er satt i for å overføre dataene. Når dette er gjort trykker en på Programming tool knappen. Menyen i figur 17 kommer da opp. Side 18 av 30 Prosjektgruppe 59

19 Figur 17 Programmeringsmenyen Kryss av i boksen Program/configure og velg Start Programming. Når Quartus II sier at programmeringen er utført kan en teste ut maskinvarebeskrivelsen i brikken. 2.7 Simulering av maskinvare beskrivelse. Det kan i mange tilfeller være ønskelig å simulere maskinvarebeskrivelsen før denne legges inn i FPGAen og testes. Grunnen til dette kan være at en vil teste ut hele eller deler av designet før et eventuelt kretskort er ferdig produsert. Quartus II inneholder flere slike muligheter for å simulere. Man kan simulere ved hjelp av Altera programvare eller ved hjelp av tredjeparts EDAprogramvare. Quartus II har to innebygde metoder for å simulere maskinvarebeskrivelsen. Den ene er den såkalte SignalTap II funksjonen som følger med i full versjonen (lisensiert) av Quartus II. Siden denne funksjonen ikke følger med i Web-Edition (gratis versjonen) vil vi her konsentrere oss om den andre metoden. Denne metoden bruker Altera Simulator som også følger med i Max Plus II. Max Plus II er en annen type utviklingsverktøy levert av Altera. For å simulere ett design må man gjøre følgende: Kompiler alltid maskinvarebeskrivelsen ved start eller ved endringer. Hvis ikke vil en simulere den forrige versjonen av maskinvarebeskrivelsen. Spesifiser oppsettet av simulatoren ved å velge Assignments Simulator settings. En hjelpemeny for oppsettet kommer da opp. Prosjektgruppe 59 Side 19 av 30

20 Figur 18 Side 1 av hjelpemeny for simulator oppsett På den første siden av hjelpemenyen er det to valg en må sette opp. Focus point er navnet på den øverste entiteten til den delen av designet som skal testes. Skal en del av designet testes skriver en her navnet til den entiteten. Er det hele designet som skal testes skriver en navnet til den øverste entiteten i maskinvarebeskrivelsen. I Settings name skriver en navnet på oppsettet av simulatoren. Ethvert oppsett som lages med denne hjelpemenyen vil bli lagret i prosjektet. Gi derfor et entydig navn til oppsettet. Side 20 av 30 Prosjektgruppe 59

21 Figur 19 Side 2 av hjelpemeny for simulator oppsett På side to finnes to valg å velge imellom, velg her Timing som Simulation mode. Prosjektgruppe 59 Side 21 av 30

22 Figur 20 Side 3 av hjelpemeny for simulator oppsett I det første feltet på side tre kan en angi hvilken vektorfil som skal brukes under simuleringen. Denne vektorfilen er inngangsverdiene som de forskjellige I/O-pinner skal ha under simuleringen. Har en ikke allerede har laget denne så kan en krysse av i No boksen. Hvordan en gjør dette blir nærmere omtalt. Det neste valget bør stå krysset av i boksen Yes. Dette valget gjør at når en simulerer så vil simulatoren også vise responsen på pinner i designet en ikke har sagt at en vil se på. Disse responsene kommer frem i Simulator Report Window noe som skal omtales senere. Den neste boksen lar en bare forbli valgt til No. Side 22 av 30 Prosjektgruppe 59

23 Figur 21 Side 4 av hjelpemeny for simulator oppsett På side 4 er det bare et valg som en må ta stilling til. Valget består her av om en vil simulere til simulatoren har gått gjennom hele vektorfilen eller om den skal stoppe etter en definert tid. Det anbefales at man velger Run simulation until all vector stimuli have been used. Det kan bestemmes hvor lang denne vektoren skal være og på denne måten styrer en dermed også simuleringsintervallet. Prosjektgruppe 59 Side 23 av 30

24 Figur 22 Side 5 av hjelpemeny for simulator oppsett På side 5 anbefales det at valgene står urørt. Disse valgene kan en lese mer om i brukerhåndboken til Quartus II. Side 24 av 30 Prosjektgruppe 59

25 Figur 23 Side 6 av hjelpemeny for simulator oppsett Den siste siden av hjelpemenyen er en oppsummering av hvilke valg som er gjort. Er en fornøyd med valgene bekrefter man ved å trykke på Finish knappen. Når oppsettet er gjort må vektorfilen lages slik at simuleringen kan fullføres. Hvis denne filen allerede er laget kan den åpnes nå. Når vektorfilen for simuleringen skal lages er det flere måter å gjøre dette på. Man kan enten trykke på New Vektor Waveform File, New knappen eller velge File New. Hvis en velger det siste alternativet vil følgende meny for filtype komme opp. Prosjektgruppe 59 Side 25 av 30

26 Figur 24 Valg av filtype Velg Vector Waveform File under Other Files fliken. Når denne er åpnet kommer en til vektoreditor i Quartus II. Side 26 av 30 Prosjektgruppe 59

27 Figur 25 Vektor editor i Quartus II Det første som må gjøres er å legge inn hvilke innganger en skal lage bitmønster til. Dette gjøres ved å høyreklikke i kolonnen Name og velge Insert Node or Bus. Følgende vindu kommer da opp. Figur 26 Insert Node or Bus Velg deretter Node Finder for å søke etter I/O-pinner. Prosjektgruppe 59 Side 27 av 30

28 Figur 27 Node Finder I feltet Filter velger en Pins: all og trykk på Start knappen. En liste over alle I/O porter på den øverste entiteten kommer opp i feltet Nodes Found. Merk inngangene ved å holde CTRL knappen nede mens en trykker på dem. Når alle inngangene er merket trykkes knappen >. Dette gjør at de valgte nodene blir overført til feltet Selected Nodes. Trykk deretter OK. Vinduet Insert Node or Bus kommer opp igjen. Trykk OK. Side 28 av 30 Prosjektgruppe 59

29 Følgende bilde kommer opp. Figur 28 Waveform Editor Det først en nå må gjøre er å sette lengden på inngangsvektoren, noe som igjen bestemmer lengden av simuleringen. Dette gjøres ved å velge Edit End time. Figur 29 Oppsett av vektorlengde Skriv inn simuleringsintervallet og velg OK. Som oftest vil en bare se deler av den fulle lengden på filen. For å se hele lengde høyreklikk og velg Zoom Fit in window. Nå er tiden inne for å lage bitmønster. Merk av et område eller hele tidsperioden for de forskjellige inngangene. Hvis en skal ha samme nivå eller en viss frekvens på hele tidsperioden til en I/O-port, merker en først hele signalet ved å trykke på navnet til porten. Hele tidsperioden blir lys blå. Når perioden er merket velger en hva slags mønster pinnen skal ha ved å trykke på mønstrene i Prosjektgruppe 59 Side 29 av 30

30 verktøyfeltet. For å få et klokkesignal velg Overwrite clock og sett inn periodetiden. Hvis en skal lage et egendefinert bitmønster må de forskjellige delene av tidsrommet merkes for så å sette dette feltet høyt, lav osv. Et felt merker en ved å trykke venstre museknapp nede og dra over perioden. Velg deretter verdiene i verktøyfeltet. Når alle bitmønstrene er laget lagres filen. For å starte simuleringen kan dette gjøres ved enten å trykke på Start Simulation knappen på knapperaden eller velge Processing -> Start Simulation. Etter simuleringen får en opp et bilde med både inngangs- og utgangssignalene fra simuleringen. Disse bitmønstrene kan editeres, lagres og skrives ut. Side 30 av 30 Prosjektgruppe 59

Zelio Soft grunnkurs. Zelio Logic reléerstatter programmering

Zelio Soft grunnkurs. Zelio Logic reléerstatter programmering Zelio Soft grunnkurs Zelio Logic reléerstatter programmering Zelio Soft programvare for programmering av Zelio Logic reléerstatter Grunnkurset forutsetter at Zelio Soft er installert på PC Skjermbilder

Detaljer

Kom i gang med. Åpne nettleseren, gå til: Velg «New Project».

Kom i gang med. Åpne nettleseren, gå til:   Velg «New Project». Kom i gang med micro:bit er en mikrokontroller, en liten datamaskin som kan programmeres til å fungere sammen med knapper, motorer, sensorer, høgtalere, lysdioder, osv. Åpne nettleseren, gå til: https://makecode.microbit.org

Detaljer

Her skal du lære å programmere micro:biten slik at du kan spille stein, saks, papir med den eller mot den.

Her skal du lære å programmere micro:biten slik at du kan spille stein, saks, papir med den eller mot den. PXT: Stein, saks, papir Skrevet av: Bjørn Hamre Kurs: Microbit Introduksjon Her skal du lære å programmere micro:biten slik at du kan spille stein, saks, papir med den eller mot den. Steg 1: Velge tilfeldig

Detaljer

Cadence Oppstart og Skjemategning

Cadence Oppstart og Skjemategning Cadence Oppstart og Skjemategning Dag T. Wisland 17. januar 2005 1 Introduksjon Cadence er en komplett pakke for konstruksjon av elektroniske kretser og inneholder en rekke forskjellige verktøy både for

Detaljer

INF 3430/4431. Simuleringsmetodikk

INF 3430/4431. Simuleringsmetodikk INF 3430/4431 Simuleringsmetodikk Innhold Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Fil-operasjoner Eksempel på SRAM modell og simulering av lesing fra denne INF3430/4431

Detaljer

Digital 2d-tegning og vinylkutting

Digital 2d-tegning og vinylkutting Digital 2d-tegning og vinylkutting Vi bruker tre ulike gratisprogrammer for å lage mønster som vi kan skjære ut ved hjelp av vinylkuttere. Disse mønstrene må deretter renskes/plukkes rene før de kan trykkes

Detaljer

Før du starter, del 2

Før du starter, del 2 1 Før du starter I Windows må du sørge for at tekst og andre elementer er satt til å vises normalt 100%. Visma Global støtter ikke zooming, da vil noen elementer forsvinne fra programmet og ikke fungere.

Detaljer

8. FILOVERFØRING. 8. Filoverføring

8. FILOVERFØRING. 8. Filoverføring 8. FILOVERFØRING 8. Filoverføring 8 BRUKERHÅNDBOK NETTBANK BEDRIFT LANDKREDITT 8.1 Send filer Funksjonen brukes for å sende filer fra regnskaps-/lønnssystemet til Nettbank Bedrift. Når du trykker på Send

Detaljer

Innhold. Bruker manual BlueprintEasy PDF tagger. versjon: P a g e

Innhold. Bruker manual BlueprintEasy PDF tagger.  versjon: P a g e Innhold INNHOLD... 1 INTRODUKSJON... 2 INSTALLASJON... 2 LAGE PRODUKT LISTER / BILDER... 2 VELG FIL LOKASJON (DIRECTORY)... 2 LAGE BILDER / IKONER / SYMBOLER... 2 EXCEL ARK / PRODUKT LISTE... 3 WEB LINK

Detaljer

PLS PC-øving nr. 3 Global Label og Local Label, flagg og CJ

PLS PC-øving nr. 3 Global Label og Local Label, flagg og CJ PLS PC-øving nr. 3 Global Label og Local Label, flagg og CJ Utgave: 1.02 Utarbeidet av: AH Dato: 10.10.12 Revidert av: AH Dato: 270114 Tema i oppgaven Oppgaven går ut på å lære seg å ta i bruk listene

Detaljer

6105 Windows Server og datanett

6105 Windows Server og datanett 6105 Windows Server og datanett Labøving: Deling av mapper, filer og skrivere i arbeidsgruppe Oppgavebeskrivelse Her forklares kort hva øvingen går ut på for de som ønsker å finne løsningen selv. Hvis

Detaljer

Innhold. Bruker manual BlueprintEasy PDF tagger. versjon: P a g e

Innhold. Bruker manual BlueprintEasy PDF tagger.  versjon: P a g e Innhold INNHOLD... 1 INTRODUKSJON... 2 INSTALLASJON... 2 LAGE PRODUKT LISTER / BILDER... 2 VELG FIL LOKASJON (DIRECTORY)... 2 LAGE BILDER / IKONER / SYMBOLER... 2 EXCEL ARK / PRODUKT LISTE... 3 WEB LINK

Detaljer

Innhold. Bruker manual BlueprintEasy PDF tagger. versjon: P a g e

Innhold. Bruker manual BlueprintEasy PDF tagger.   versjon: P a g e Innhold INNHOLD... 1 INTRODUKSJON... 2 INSTALLASJON... 2 LAGE PRODUKT LISTER / BILDER... 2 VELG FIL LOKASJON (DIRECTORY)... 2 LAGE BILDER / IKONER / SYMBOLER... 2 EXCEL ARK / PRODUKT LISTE... 3 WEB LINK

Detaljer

Intentor Helpdesk - Installasjon Step #3: Microsoft Reporting Services

Intentor Helpdesk - Installasjon Step #3: Microsoft Reporting Services Intentor Helpdesk - Installasjon Step #3: Microsoft Reporting Services Dokumentasjon levert av: Prosjekt: Norsk Data Senter AS Installasjon av Intentor Helpdesk Norsk Data Senter AS e-post info@nds.no

Detaljer

Installasjon av Pocket

Installasjon av Pocket Installasjon av Pocket Modell: Motorola / Symbol MC75A Table of Contents Gammel Pocket... 2 Avinstaller Visma RS Mobile... 2 Nettverksinnstillinger... 6 Oppsett av trådløs tilkobling... 10 Installere programvare

Detaljer

Programmet kan lastes ned gratis fra (Downloads ) og er ikke en del av CxOne-pakken.

Programmet kan lastes ned gratis fra   (Downloads ) og er ikke en del av CxOne-pakken. Tema 4: Programvare Programmet kan lastes ned gratis fra www.myomron.com (Downloads ) og er ikke en del av CxOne-pakken. Merk deg dette før du går videre Når du lagrer prosjektet lages det en fil med etternavn

Detaljer

ULTICAP. UltiCap HiST Jan 01

ULTICAP. UltiCap HiST Jan 01 ULTICAP,11/('1,1* Ulticap er et program for å tegne et kretsskjema med komponenter, ledninger og busser. Skjemaet kan så eksporteres til Ultiboard, hvor en kan lage selve mønsterkortutlegget. Før vi setter

Detaljer

INF 3430/4430. Simuleringsmetodikk

INF 3430/4430. Simuleringsmetodikk INF 3430/4430 Simuleringsmetodikk Innhold Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Fil-operasjoner Eksempel på SRAM modell og simulering av lesing fra denne INF3430 Side

Detaljer

VH Service Software. Dette dokumentet forteller deg i korte trekk hvilke funksjoner denne programvaren har, basert på følgende menyvalg:

VH Service Software. Dette dokumentet forteller deg i korte trekk hvilke funksjoner denne programvaren har, basert på følgende menyvalg: VH Service Software Dette dokumentet forteller deg i korte trekk hvilke funksjoner denne programvaren har, basert på følgende menyvalg: File Settings Test Alarm Help Dette er startsiden i denne service

Detaljer

Bruksanvisning Unitronics Vision

Bruksanvisning Unitronics Vision Bruksanvisning Unitronics Vision Ole Einar Moe Innhold 1 Oppsett... 1 1.1 PLS... 1 1.2 Datamaskin... 2 1.3 Kommunikasjon... 2 2 Planlegging... 6 2.1 Digitale Inn/Ut ganger... 6 2.2 Analoge Inn/Ut ganger...

Detaljer

ULTIBOARD. UltiBoard HiST 9.feb. 01

ULTIBOARD. UltiBoard HiST 9.feb. 01 ULTIBOARD,11/('1,1* 8OWL%RDUGHUHWSURJUDPIRUODJHXWOHJJIRUP QVWHUNRUWVNRUW9LNDQLPSRUWHUHU NUHWVVNMHPDIUD8OWL&DSHOOHU(OHFWURQLFV:RUNEHQFKVRPJM UGHWPXOLJnWHJQH XWOHJJHWVOLNDWGHWVHQHUHNDQVNULYHVXWSnWUDQVSDUHQWIROLH)

Detaljer

Nytt prosjekt og programlogikk

Nytt prosjekt og programlogikk Hima myexample steg for steg 1 Generelt Denne steg for steg oppskriften for myexample prosjektet er et supplement til Create Projekt.pdf filen. I Create Project.pdf står det ytterligere informasjon av

Detaljer

Hei verden Introduksjon Swift PDF

Hei verden Introduksjon Swift PDF Hei verden Introduksjon Swift PDF Introduksjon Swift er et programmeringsspråk laget av Apple og er etterfølgeren til Objective-C. Med Swift kan du lage apper for ios og OSX. For å gjennomføre dette kurset

Detaljer

Hei verden. Introduksjon. Steg 1: Sette opp Xcode. Skrevet av: Andreas Amundsen

Hei verden. Introduksjon. Steg 1: Sette opp Xcode. Skrevet av: Andreas Amundsen Hei verden Skrevet av: Andreas Amundsen Kurs: Swift Introduksjon Swift er et programmeringsspråk laget av Apple og er etterfølgeren til Objective-C. Med Swift kan du lage apper for ios og OSX. For å gjennomføre

Detaljer

Få kontakt med SilverLink4 NB! All endring på innstillinger på PC n gjøres på eget ansvar.

Få kontakt med SilverLink4 NB! All endring på innstillinger på PC n gjøres på eget ansvar. Få kontakt med SilverLink4 NB! All endring på innstillinger på PC n gjøres på eget ansvar. Hvis du ikke får kontakt med SilverLink4 i DesignaKnit7, gå systematisk gjennom dette dokumentet. NB! Før du begynner

Detaljer

Oppgavesett for NVivo 10

Oppgavesett for NVivo 10 Oppgavesett for NVivo 10 Oppgave 1: Nytt prosjekt Det første du ser når du åpner NVivo er en liste over de siste prosjektene du har jobbet med i programmet. I dag lager vi et nytt prosjekt. Klikk på New

Detaljer

Kom i gang med programmering i Java

Kom i gang med programmering i Java Kom i gang med programmering i Java Dette dokumentet forteller hvordan du skal komme i gang med programmering inkludert nedlasting av den programvare du trenger samt oppsett av disse samt en del innstillinger

Detaljer

INF3430/4431. VHDL byggeblokker og testbenker

INF3430/4431. VHDL byggeblokker og testbenker INF3430/4431 VHDL byggeblokker og testbenker Entity/architecture Innhold Strukturelle design (nettliste) Generics Configurations Operatorer-Operator prioritet (precedence) Datatyper Bit / IEEE1164 std_ulogic

Detaljer

Oppgavesett for NVivo 10

Oppgavesett for NVivo 10 Oppgavesett for NVivo 10 Oppgave 1: Nytt prosjekt Det første du ser når du åpner NVivo er en liste over de siste prosjektene du har jobbet med i programmet. I dag lager vi et nytt prosjekt. Klikk på New

Detaljer

Hvordan slette midlertidige filer i Java kontrollpanel

Hvordan slette midlertidige filer i Java kontrollpanel Hvordan slette midlertidige filer i Java kontrollpanel For Windows XP 1. Lukk Internet Explorer eller andre nettlesere 2. Klikk på Start Innstillinger Kontrollpanel: 3. Et nytt vindu vises, finn Java/Java

Detaljer

Kan micro:biten vår brukes som et termometer? Ja, den har faktisk en temperatursensor!

Kan micro:biten vår brukes som et termometer? Ja, den har faktisk en temperatursensor! PXT: Temperatur Skrevet av: Kolbjørn Engeland, Julie Revdahl Kurs: Microbit Tema: Blokkbasert, Elektronikk, Spill Fag: Programmering Klassetrinn: 1.-4. klasse, 5.-7. klasse, 8.-10. klasse Introduksjon

Detaljer

INF 3430/4430. Simuleringsmetodikk

INF 3430/4430. Simuleringsmetodikk INF 3430/4430 Simuleringsmetodikk 02.11.2005 Agenda Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Verifikasjon av syntetisert/plassert design mot RTL-kode Fil-operasjoner

Detaljer

TELE2010A Digital Systemkonstruksjon

TELE2010A Digital Systemkonstruksjon TELE2010A Digital Systemkonstruksjon Øving 3/2015 Del 1, Teller: Husk å arbeide i det lokale arbeidsområdet på disken. Kopier filene til serveren når dere er ferdig for å kunne bruke dem neste gang. max_tall

Detaljer

Følgende «tommelfinger-regler» bør (må) følges:

Følgende «tommelfinger-regler» bør (må) følges: Notat Denne «oppskriften» er basert på erfaringer om hva som går bra når en benytter Word til å lage navigasjonsdiagrammer. Det finnes sikkert andre måter som også gir et brukbart resultat. Det er bare

Detaljer

Slik bruker du P-touch Transfer Manager

Slik bruker du P-touch Transfer Manager Slik bruker du P-touch Transfer Manager Versjon 0 NOR Innledning Viktig merknad Innholdet i dette dokumentet og spesifikasjonene for dette produktet kan endres uten forvarsel. Brother forbeholder seg retten

Detaljer

KYBERNETIKKLABORATORIET. FAG: Industriell IT DATO: 08.14 OPPG.NR.: LV4. LabVIEW Temperaturmålinger BNC-2120

KYBERNETIKKLABORATORIET. FAG: Industriell IT DATO: 08.14 OPPG.NR.: LV4. LabVIEW Temperaturmålinger BNC-2120 KYBERNETIKKLABORATORIET FAG: Industriell IT DATO: 08.14 OPPG.NR.: LV4. LabVIEW LabVIEW Temperaturmålinger BNC-2120 Lampe/sensor-system u y I denne oppgaven skal vi teste et lampe/sensor-system som vist

Detaljer

Oppgavesett for NVivo 9

Oppgavesett for NVivo 9 Oppgavesett for NVivo 9 Oppgave 1: Nytt prosjekt Det første du ser når du åpner NVivo er en liste over de siste prosjektene du har jobbet med i programmet. I dag lager vi et nytt prosjekt. Klikk på New

Detaljer

XilinX. Enkel innføring i skjematikk INF1400 / H03

XilinX. Enkel innføring i skjematikk INF1400 / H03 XilinX Enkel innføring i skjematikk INF1400 / H03 Innledning En XilinX chip er en rekonfigurerbar digital mikrochip (VLSI). På en XilinX chip ligger det et stort antall digitale porter og Flip-Flops. Disse

Detaljer

6105 Windows Server og datanett

6105 Windows Server og datanett 6105 Windows Server og datanett Labøving: Deling av mapper, filer og skrivere i arbeidsgruppe Hensikt/målsetning Øvingen gir erfaring med enkel deling av mapper, filer og skrivere i en Windows arbeidsgruppe.

Detaljer

MyLocator2 Brukermanual v1.6 (20.08.2013) Utdrag av vlocpro2/vlocml2 brukermanual

MyLocator2 Brukermanual v1.6 (20.08.2013) Utdrag av vlocpro2/vlocml2 brukermanual MyLocator2 Brukermanual v1.6 (20.08.2013) Utdrag av vlocpro2/vlocml2 brukermanual 5.1 MyLocator2 MyLocator2 konfigurasjons verktøyet er en programpakke som tillater brukeren å konfigurere vloc 2. generasjons

Detaljer

Kan micro:biten vår brukes som en terning? Ja, det er faktisk ganske enkelt!

Kan micro:biten vår brukes som en terning? Ja, det er faktisk ganske enkelt! Microbit PXT: Terning Skrevet av: Geir Arne Hjelle Kurs: Microbit Språk: Norsk bokmål Introduksjon Kan micro:biten vår brukes som en terning? Ja, det er faktisk ganske enkelt! Steg 1: Vi rister løs Vi

Detaljer

Printer Driver. Denne veiledningen beskriver installasjonen av skriverdriveren for Windows Vista. Før denne programvaren brukes

Printer Driver. Denne veiledningen beskriver installasjonen av skriverdriveren for Windows Vista. Før denne programvaren brukes 3-876-169-21 (1) Printer Driver Betjeningsveiledning Denne veiledningen beskriver installasjonen av skriverdriveren for Windows Vista. Før denne programvaren brukes Før du bruker skriverdriveren må du

Detaljer

Layout og publisering

Layout og publisering Layout og publisering Målet for denne kursomgangen er at du skal: - Bli kjent med menyene i ArcMap - Gjøre enkle forandringer i et eksisterende prosjekt - Lage et kart basert på prosjektet, som kan skrives

Detaljer

HEMIT EKSTRANETT HVORDAN GJØR JEG DET? 03 Laste opp dokumenter

HEMIT EKSTRANETT HVORDAN GJØR JEG DET? 03 Laste opp dokumenter HEMIT EKSTRANETT HVORDAN GJØR JEG DET? 03 Laste opp dokumenter Introduksjon Denne brukerveiledningen er laget for Hemit Ekstranettportal. (https:\\ekstranett.helse-midt.no\) I dette dokumentet tar vi for

Detaljer

1. Rullende navn, s 3 2. Smilefjes, s 5 3. Skritteller, s 7 4. Orakel, s 9 5. Stein, saks og papir, s Kompass, s 14

1. Rullende navn, s 3 2. Smilefjes, s 5 3. Skritteller, s 7 4. Orakel, s 9 5. Stein, saks og papir, s Kompass, s 14 Kom i gang med 2 I dette heftet skal vi gjøre oss kjent med micro:bit og lære å programmere med blokk-kode. Heftet inneholder seks ulike prosjektoppgaver med differensiert innhold og tema. 1. Rullende

Detaljer

10 - Automatisk eksamensplanlegging

10 - Automatisk eksamensplanlegging 10 - Automatisk eksamensplanlegging Planmodulen Planmodulen er laget for å kunne legge eksamensplan på det tidspunkt man vet hvilke studenter som ønsker å ta hvilke eksamener. Målsetting med bruk av automatisk

Detaljer

I denne Knarrhultguiden skal vi se nærmere på hvordan man lager en varslingsfil for sortering av søyer før lamming. Det er laget fire forskjellige

I denne Knarrhultguiden skal vi se nærmere på hvordan man lager en varslingsfil for sortering av søyer før lamming. Det er laget fire forskjellige I denne Knarrhultguiden skal vi se nærmere på hvordan man lager en varslingsfil for sortering av søyer før lamming. Det er laget fire forskjellige sorteringsmuligheter slik at man kan lage en som passer

Detaljer

VMware Horizon View Client. Brukerveiledning for nedlasting, installasjon og pålogging for fjerntilgang

VMware Horizon View Client. Brukerveiledning for nedlasting, installasjon og pålogging for fjerntilgang VMware Horizon View Client Brukerveiledning for nedlasting, installasjon og pålogging for fjerntilgang Introduksjon Fjerntilgang er blitt oppgradert til en bedre og mer moderne løsning. Programmet er identisk

Detaljer

INF3430/4430. Grunnleggende VHDL

INF3430/4430. Grunnleggende VHDL INF3430/4430 Grunnleggende VHDL 26.09.2005 20.57 Agenda Entity/architecture Strukturelle design (netlist) Generics Configurations Operatorer-Operator presedence Datatyper Bit / IEEE1164 Std_ulogic /std_logic

Detaljer

4. Dynamisk skjemaer (GUI)

4. Dynamisk skjemaer (GUI) 4. Dynamisk skjemaer (GUI) I drofus kan flere skjermbilder selv defineres av prosjektet. Disse skjermbildene kan redigeres av en med administratortilgang til prosjektet. For tiden kan følgende skjemaer

Detaljer

6105 Windows Server og datanett

6105 Windows Server og datanett 6105 Windows Server og datanett Labøving: Maskinvare Oppgave a: Fysisk maskinvare Undersøk den fysiske maskinen din, og finn opplysninger om maskinvaren i denne, slik at du kan fylle ut mest mulig i tabellen

Detaljer

Installere JBuilder Foundation i Windows XP

Installere JBuilder Foundation i Windows XP Installere JBuilder Foundation i Windows XP Installasjon av JBuilder Foundation på Windows (dekker her spesifikt fremgangen ved bruk av Microsoft Windows XP Professional, men det vil mest trolig ikke være

Detaljer

I denne Knarrhultguiden skal vi se nærmere på hvordan man lager en varslingsfil for sortering av søyer før lamming. Det er laget fire forskjellige

I denne Knarrhultguiden skal vi se nærmere på hvordan man lager en varslingsfil for sortering av søyer før lamming. Det er laget fire forskjellige I denne Knarrhultguiden skal vi se nærmere på hvordan man lager en varslingsfil for sortering av søyer før lamming. Det er laget fire forskjellige sorteringsmuligheter slik at man kan lage en som passer

Detaljer

PC-EN HUSKER ALT FOR DEG ORD FOR ORD. Skriv dagbok

PC-EN HUSKER ALT FOR DEG ORD FOR ORD. Skriv dagbok PC-EN HUSKER ALT FOR DEG ORD FOR ORD Kjære da Husk å skrive om Paris Skriv dagbok på pc-en SÅNN! Bruk datamaskinen til å skrive dagbok. DETTE TRENGER DU Programmet idailydiary og en pc TIDSFORBRUK minutter

Detaljer

Windows XP. Skrivebord

Windows XP. Skrivebord Windows XP En datamaskin må ha et operativsystem for å kunne virke. Det er operativsystemet som sørger for at de forskjellige enhetene, som enheter som sentralenhet, skjerm, tastatur, mus og skriver arbeider

Detaljer

ebeam Edge består av en elektronisk penn (sender), mottaker, programvare og USB kabel. USB kabelen kobles til i mottakeren.

ebeam Edge består av en elektronisk penn (sender), mottaker, programvare og USB kabel. USB kabelen kobles til i mottakeren. ebeam Edge består av en elektronisk penn (sender), mottaker, programvare og USB kabel. USB kabelen kobles til i mottakeren. + + Eller last ned her: www.osnes.no/nedlasting/ebeam.html ebeam mottakeren festes

Detaljer

38. Utskrift - Master Layout

38. Utskrift - Master Layout 38. Utskrift - Master Layout Underlag med tittelfelt Den tredje mappa i Navigator er Layout. Der samler vi tegningene fra View Map for utskrift. Men før vi kan samle tegningene for utskrift, skal vi lage

Detaljer

Kjenner du alle funksjonene på tastaturet?

Kjenner du alle funksjonene på tastaturet? Kjenner du alle funksjonene på tastaturet? Guide: Tastaturet Av Bjørn André Hagen 30. Januar 2008 17:45 Kilde: Tastatur layout Et tastatur har mange knapper man ikke bruker hver dag, vi skal prøve å forklare

Detaljer

Steg 1: Piler og knappetrykk

Steg 1: Piler og knappetrykk PXT: Er du rask nok? Skrevet av: Julie Christina Revdahl Kurs: Microbit Tema: Blokkbasert, Spill, Elektronikk Fag: Programmering, Teknologi Klassetrinn: 5.-7. klasse, 8.-10. klasse, Videregående skole

Detaljer

NY PÅ NETT. Operativsystemer

NY PÅ NETT. Operativsystemer NY PÅ NETT Operativsystemer INNHOLD Operativsystem... 3 Ulike typer operativsystem... 3 Skjermbilder av skrivebordet på pc med Xp, Vista og W7... 4 Windows XP - skrivebord... 4 Windows Vista skrivebord...

Detaljer

Bruk av kildeavskrifter som er merket med grønn kule

Bruk av kildeavskrifter som er merket med grønn kule www.slektshistorielaget.no Bruk av kildeavskrifter som er merket med grønn kule Hvorfor er dette nyttig? De aller fleste av avskriftene som er markert med grønn kule er lagret i databaser på lagets hjemmeside

Detaljer

Presentasjon. Datakortets modul 6 avgrenser ferdigheter i praktisk bruk av presentasjonsverktøy. Stadig flere ser mulighetene som ligger i

Presentasjon. Datakortets modul 6 avgrenser ferdigheter i praktisk bruk av presentasjonsverktøy. Stadig flere ser mulighetene som ligger i 92 Datakortets modul 6 avgrenser ferdigheter i praktisk bruk av presentasjonsverktøy. Stadig flere ser mulighetene som ligger i Presentasjon presentasjonsverktøyet PowerPoint når det gjelder presentasjon

Detaljer

Veileder for opplasting av AKTIV sporlogg til PC

Veileder for opplasting av AKTIV sporlogg til PC Veileder for opplasting av AKTIV sporlogg til PC Det finnes i dag flere forskjellige GPS merker på markedet. Til fritidsbruk, og spesielt i redningstjenesten er det Garmin som benyttes mest. Det finnes

Detaljer

Eksterne enheter Brukerhåndbok

Eksterne enheter Brukerhåndbok Eksterne enheter Brukerhåndbok Copyright 2009 Hewlett-Packard Development Company, L.P. Informasjonen i dette dokumentet kan endres uten varsel. De eneste garantiene for HP-produktene og -tjenestene er

Detaljer

PXT: Bjelleklang. Introduksjon. Skrevet av: Kolbjørn Engeland, Julie Revdahl

PXT: Bjelleklang. Introduksjon. Skrevet av: Kolbjørn Engeland, Julie Revdahl PXT: Bjelleklang Skrevet av: Kolbjørn Engeland, Julie Revdahl Kurs: Microbit Tema: Blokkbasert, Elektronikk, Lyd, Animasjon Fag: Programmering, Musikk Klassetrinn: 5.-7. klasse, 8.-10. klasse, Videregående

Detaljer

BRUK AV TiSferaDesign I RINGETABLÅER MED ELEKTRONISK NAVNELISTE:

BRUK AV TiSferaDesign I RINGETABLÅER MED ELEKTRONISK NAVNELISTE: BRUK AV TiSferaDesign I RINGETABLÅER MED ELEKTRONISK NAVNELISTE: (benyttes til å opprette og redigere navneliste, samt laste denne til tablået via USB kabel) TiSferaDesign Kan lastes ned herfra: http://www.homesystems-legrandgroup.com/bthomesystems/productdetail.action?productid=019

Detaljer

Etiming på nærløp. Dersom du får opp vinduet under er filene fra forrige løp flyttet, og du må oppgi hvor systemfilen ligger.

Etiming på nærløp. Dersom du får opp vinduet under er filene fra forrige løp flyttet, og du må oppgi hvor systemfilen ligger. Etiming på nærløp. Generelt På nærløp gjør vi det så enkelt som mulig, men etter løpet skal tidene ut fra tidttakerboksen (MTR) og de løperene som har byttet løype, brikke eller ikke husket å melde seg

Detaljer

En liten oppskrift på hvordan jeg installert og fikk Xastir til å virke sånn at jeg ble synlig i APRS verden.

En liten oppskrift på hvordan jeg installert og fikk Xastir til å virke sånn at jeg ble synlig i APRS verden. En liten oppskrift på hvordan jeg installert og fikk Xastir til å virke sånn at jeg ble synlig i APRS verden. La meg med en gang si at jeg er rimelig grønn i Linux verden så dere får bære over med meg

Detaljer

Manual for bruk av Priseditor

Manual for bruk av Priseditor Manual for bruk av Priseditor Del 1. Dette gjøres av VisBook ved oppstart, endringer/nye prismaler gjennomføres av bedriften selv eller bestilles utført av VisBook. Bygge prismaler Side 2 Del 2. Bygge

Detaljer

Steg 1: Installasjon. Steg 2: Installasjon av programvare. ved nettverkstilkoblingen på baksiden av kameraet. Kameraet vil rotere og tilte automatisk.

Steg 1: Installasjon. Steg 2: Installasjon av programvare. ved nettverkstilkoblingen på baksiden av kameraet. Kameraet vil rotere og tilte automatisk. Innhold Steg 1: Installasjon... 3 Steg 2: Installasjon av programvare... 3 Steg 3. Oppsett av wifi, email varsling og alarm... 5 Steg 4: Installasjon og oppsett av mobil app... 8 Steg 5: Installasjon og

Detaljer

Brukerveiledning Astra XT- programvare oppsett og kommunikasjons innstillinger.

Brukerveiledning Astra XT- programvare oppsett og kommunikasjons innstillinger. Brukerveiledning Astra XT- programvare oppsett og kommunikasjons innstillinger. Innholdsfortegnelse: Side 2 Side 3 Side 5 Side 7 Side 9 Side 14 Side 17 : Programforklaring : Installasjon : Registrering

Detaljer

ØKONOMIAVDELINGEN. Brukerdokumentasjon. Generell funksjonalitet og eksempler Juni 2017

ØKONOMIAVDELINGEN. Brukerdokumentasjon. Generell funksjonalitet og eksempler Juni 2017 Brukerdokumentasjon Generell funksjonalitet og eksempler Juni 2017 Generell funksjonalitet Tableau Server Inngang til Tableau Tableau server: https://prudens.uhad.no Vanlig UiB-brukernavn@uib Vanlig UiB-passord

Detaljer

Her er en enkel bruksanvisning på administrasjonspanelet til hjemmesiden din på QTSystems.

Her er en enkel bruksanvisning på administrasjonspanelet til hjemmesiden din på QTSystems. Her er en enkel bruksanvisning på administrasjonspanelet til hjemmesiden din på QTSystems. Redigert 10.februar 2010. For at det skal bli lettere å lese denne manualen kan du justere størrelsen på dette

Detaljer

Elektronisk hjelp En guide til det innebygde hjelpesystemet

Elektronisk hjelp En guide til det innebygde hjelpesystemet Elektronisk hjelp En guide til det innebygde hjelpesystemet Oslo, 2008 4. opplag All informasjon i denne dokumentasjonen vil kunne forandres uten varsel og representerer ikke en forpliktelse fra produsenten.

Detaljer

Bli Kjent med Datamaskinen Introduksjon ComputerCraft PDF

Bli Kjent med Datamaskinen Introduksjon ComputerCraft PDF Bli Kjent med Datamaskinen Introduksjon ComputerCraft PDF Introduksjon Vi begynner med å bygge en enkel datamaskin. Etter å ha brukt litt tid på å bli kjent med hvordan datamaskinen virker, bruker vi den

Detaljer

Hvordan å lage og publisere ditt personlige visittkort

Hvordan å lage og publisere ditt personlige visittkort Hvordan å lage og publisere ditt personlige visittkort Av Asle Skauge Dette skal være en bruksanvisning som alle kan følge for å få lagt ut sitt personlige visittkort på internett. Hensikten med et slikt

Detaljer

Flytte innhold fra Fronter til Canvas

Flytte innhold fra Fronter til Canvas Høgskolen i Innlandet Flytte innhold fra Fronter til Canvas Veiledning og informasjon om konvertering av innhold fra Fronter til Canvas. 07.05.2018 Innhold Fronter... 3 Veien videre... 3 Nedlastning av

Detaljer

DATAUTFORSKNING I EG, EG 7.1 OG EGENDEFINERTE FUNKSJONER SAS FANS I STAVANGER 4. MARS 2014, MARIT FISKAAEN

DATAUTFORSKNING I EG, EG 7.1 OG EGENDEFINERTE FUNKSJONER SAS FANS I STAVANGER 4. MARS 2014, MARIT FISKAAEN DATAUTFORSKNING I EG, EG 7.1 OG EGENDEFINERTE FUNKSJONER SAS FANS I STAVANGER 4. MARS 2014, MARIT FISKAAEN 2 INNLEDNING TEMA I SAS Enterprise Guide versjon 5.1 (februar 2012) kom det et nytt datautforskingsverktøy,

Detaljer

Oppgavesett videregående kurs i NVivo 9

Oppgavesett videregående kurs i NVivo 9 Oppgavesett videregående kurs i NVivo 9 Oppgave 1 Alt i en mappe Når man skal kode på lyd og video er det lurt å ha disse filene i samme mappa som NVivo-prosjektfila. Opprett en mappe på skrivebordet.

Detaljer

Ny på nett. Operativsystemer

Ny på nett. Operativsystemer Ny på nett Operativsystemer Hva skal vi lære? Hva er et operativsystem? Ulike typer operativsystemer XP Vista Windows 7 Skrivebordet Min datamaskin Start-knappen Papirkurv/søppelkurv Internett explorer

Detaljer

Installasjonsmanual. Versjon 1.2. Copyright 2002 Devinco AS

Installasjonsmanual. Versjon 1.2. Copyright 2002 Devinco AS Installasjonsmanual Versjon 1.2 Copyright 2002 Devinco AS Installasjonsmanual SpeedyCraft 1. utgave 8. juli 2002 Devinco AS NB! Dette er en midlertidig versjon av denne manualen. Enkelte ting kan være

Detaljer

INF3430/4430. Grunnleggende VHDL. 11-Sep-06

INF3430/4430. Grunnleggende VHDL. 11-Sep-06 INF3430/4430 Grunnleggende VHDL 11-Sep-06 Agenda Entity/architecture Strukturelle design (netlist) Generics Configurations Operatorer-Operator presedence Datatyper Bit / IEEE1164 Std_ulogic /std_logic

Detaljer

Om du allerede kjenner Scratch og har en Scratchbruker kan du gå videre til Steg 1.

Om du allerede kjenner Scratch og har en Scratchbruker kan du gå videre til Steg 1. Pingviner på tur Skrevet av: Geir Arne Hjelle Kurs: Scratch Tema: Blokkbasert, Spill Fag: Programmering Klassetrinn: 1.-4. klasse, 5.-7. klasse, 8.-10. klasse Introduksjon Velkommen til Scratch. Vi skal

Detaljer

TDT4110 Informasjonsteknologi, grunnkurs Uke 35 Introduksjon til programmering i Python

TDT4110 Informasjonsteknologi, grunnkurs Uke 35 Introduksjon til programmering i Python TDT4110 Informasjonsteknologi, grunnkurs Uke 35 Introduksjon til programmering i Python Professor Guttorm Sindre Institutt for datateknikk og informasjonsvitenskap Læringsmål og pensum Mål Vite hva et

Detaljer

ProMed. Brukermanual for installasjon og bruk av mobiltelefon eller SMS og nett for sending av SMS direkte fra. for Windows

ProMed. Brukermanual for installasjon og bruk av mobiltelefon eller SMS og nett for sending av SMS direkte fra. for Windows Side 1 av 9 Brukermanual for installasjon og bruk av mobiltelefon eller SMS og nett for sending av SMS direkte fra ProMed for Windows Kundeoppfølging og Administrasjon Versjon 1.7 23.10.2009 Litt om sending

Detaljer

Læringsmål og pensum. https://www.youtube.com/watch? v=nkiu9yen5nc

Læringsmål og pensum. https://www.youtube.com/watch? v=nkiu9yen5nc 1 TDT4110 Informasjonsteknologi grunnkurs: Kapittel 1 Introduksjon til Programmering og Python Professor Alf Inge Wang 2 https://www.youtube.com/watch? v=nkiu9yen5nc 3 Læringsmål og pensum Mål Lære om

Detaljer

Communicate SymWriter: R1 Lage en tavle

Communicate SymWriter: R1 Lage en tavle Communicate SymWriter: R1 Lage en tavle I denne delen beskrives egenskaper som kan brukes for å lage en tavle til å skrive med. Stort sett vil du bare ha bruk for en del av dette når du lager skrivemiljøer.

Detaljer

Bruksanvisning for innlegging av nyheter på Tana kommunes nettsted

Bruksanvisning for innlegging av nyheter på Tana kommunes nettsted Bruksanvisning for innlegging av nyheter på Tana kommunes nettsted 1 Åpne Internett explorer. Gå til http://www.tana.kommune.no/admin/ Det enkleste er å lage en snarvei til skrivebordet. Når du har kommet

Detaljer

1. NetBeans IDE: Lage en enkel mobilapplikasjon

1. NetBeans IDE: Lage en enkel mobilapplikasjon Avdeling for informatikk og e-læring, Høgskolen i Sør-Trøndelag NetBeans IDE: Lage en enkel mobilapplikasjon Mildrid Ljosland/Lene Hoff 09.09.2008 Lærestoffet er utviklet for faget SO350D J2ME for programmering

Detaljer

Brukermanual JDL Core4 ITV

Brukermanual JDL Core4 ITV Brukermanual JDL Core4 ITV Hurtigveiledning v1.02 Vard SecurityAS post@vardsecurity.no Side 1 Innhold 1. Hovedskjermbilde... 3 1.1 Ikonforklaring... 3 2. Playback... 3 2.1 Dato og Tidslinjene... 4 2.2

Detaljer

1. Å lage programmer i C++

1. Å lage programmer i C++ Avdeling for informatikk og e-læring, Høgskolen i Sør-Trøndelag Å lage programmer i C++ Tore Berg Hansen og Else Lervik Rividert siste gang 24. august 2006 1. Å lage programmer i C++ Resymé: Dette notatet

Detaljer

Bruk av OpenOffice.org 3 Writer

Bruk av OpenOffice.org 3 Writer Bruk av OpenOffice.org 3 Writer OpenOffice.org 3 er et gratis og bra alternativ til Microsoft Office (Word, Excel, Power Point osv.). 1 Oppstart av OpenOffice.org Trykk på Start etterfulgt av Programmer

Detaljer

Skriv ordbøker og litteratur på Tegnspråk med en nett-tjener i lommeformat! For ivrige Tegnskrift (SignWriting )- brukere!

Skriv ordbøker og litteratur på Tegnspråk med en nett-tjener i lommeformat! For ivrige Tegnskrift (SignWriting )- brukere! Skriv ordbøker og litteratur på Tegnspråk med en nett-tjener i lommeformat! For ivrige Tegnskrift (SignWriting )- brukere! En SignPuddle (Tegndam) server på en USB minnepinne! Bær tegnspråks-datene dine

Detaljer

Kom i gang med micro:bit

Kom i gang med micro:bit Kom i gang med micro:bit Kenneth Fossland, Brundalen skole 2019 Bilde: flickr.com makecode.microbit.org https://docs.google.com/document/d/1rjglb2tczwjhzcrklfyxhhn6vguuj-1jdt9ivuvbpu0/edit#heading=h.7s5hifmcog5y

Detaljer

Mars Robotene (5. 7. trinn)

Mars Robotene (5. 7. trinn) Mars Robotene (5. 7. trinn) Lærerveiledning Informasjon om skoleprogrammet Gjennom dette skoleprogrammet skal elevene oppleve og trene seg på et teknologi og design prosjekt, samt få erfaring med datainnsamling.

Detaljer

Verdens korteste grunnkurs i Excel (2007-versjonen)

Verdens korteste grunnkurs i Excel (2007-versjonen) Verdens korteste grunnkurs i Excel (2007-versjonen) NB! Vær oppmerksom på at Excel kan se annerledes ut hos dere enn det gjør på bildene under. Her er det tatt utgangspunkt i programvaren fra 2007, mens

Detaljer

Løypelegging ved bruk av

Løypelegging ved bruk av Løypelegging ved bruk av 1 Innholdsfortegnelse 1 Bruk av OCAD 9...3 2 Kart...3 3 Oppstart...3 4 Plasering av detaljer...5 5 Løyper...7 6 Postbeskrivelse...9 7 Innstillinger...11 7.1 For løyper... 11 7.2

Detaljer

La oss begynne med de aller mest elementære hurtigtastene som fungerer i nesten alle programmer og som det er svært hendig å kunne.

La oss begynne med de aller mest elementære hurtigtastene som fungerer i nesten alle programmer og som det er svært hendig å kunne. De mest elementære hurtigtastene i Windows 7+: La oss begynne med de aller mest elementære hurtigtastene som fungerer i nesten alle programmer og som det er svært hendig å kunne. [Ctrl] + C (Copy) Gir

Detaljer

Hvordan overføre en referanseliste fra et Word- eller PDF-dokument til EndNote

Hvordan overføre en referanseliste fra et Word- eller PDF-dokument til EndNote Hvordan overføre en referanseliste fra et Word- eller PDF-dokument til EndNote Det finnes en metode som gjør det mulig å få importert referanser til EndNote fra en referanseliste i et Word- eller PDF-dokument.

Detaljer