1 Innhold QUICK START GUIDE FOR QUARTUS II. Prosjektgruppe 59 Side 3 av 30 1 INNHOLD 3 2 ALTERA QUARTUS II. 4

Like dokumenter
Zelio Soft grunnkurs. Zelio Logic reléerstatter programmering

Kom i gang med. Åpne nettleseren, gå til: Velg «New Project».

Her skal du lære å programmere micro:biten slik at du kan spille stein, saks, papir med den eller mot den.

Cadence Oppstart og Skjemategning

INF 3430/4431. Simuleringsmetodikk

Digital 2d-tegning og vinylkutting

Før du starter, del 2

8. FILOVERFØRING. 8. Filoverføring

Innhold. Bruker manual BlueprintEasy PDF tagger. versjon: P a g e

PLS PC-øving nr. 3 Global Label og Local Label, flagg og CJ

6105 Windows Server og datanett

Innhold. Bruker manual BlueprintEasy PDF tagger. versjon: P a g e

Innhold. Bruker manual BlueprintEasy PDF tagger. versjon: P a g e

Intentor Helpdesk - Installasjon Step #3: Microsoft Reporting Services

Installasjon av Pocket

Programmet kan lastes ned gratis fra (Downloads ) og er ikke en del av CxOne-pakken.

ULTICAP. UltiCap HiST Jan 01

INF 3430/4430. Simuleringsmetodikk

VH Service Software. Dette dokumentet forteller deg i korte trekk hvilke funksjoner denne programvaren har, basert på følgende menyvalg:

Bruksanvisning Unitronics Vision

ULTIBOARD. UltiBoard HiST 9.feb. 01

Nytt prosjekt og programlogikk

Hei verden Introduksjon Swift PDF

Hei verden. Introduksjon. Steg 1: Sette opp Xcode. Skrevet av: Andreas Amundsen

Få kontakt med SilverLink4 NB! All endring på innstillinger på PC n gjøres på eget ansvar.

Oppgavesett for NVivo 10

Kom i gang med programmering i Java

INF3430/4431. VHDL byggeblokker og testbenker

Oppgavesett for NVivo 10

Hvordan slette midlertidige filer i Java kontrollpanel

Kan micro:biten vår brukes som et termometer? Ja, den har faktisk en temperatursensor!

INF 3430/4430. Simuleringsmetodikk

TELE2010A Digital Systemkonstruksjon

Følgende «tommelfinger-regler» bør (må) følges:

Slik bruker du P-touch Transfer Manager

KYBERNETIKKLABORATORIET. FAG: Industriell IT DATO: OPPG.NR.: LV4. LabVIEW Temperaturmålinger BNC-2120

Oppgavesett for NVivo 9

XilinX. Enkel innføring i skjematikk INF1400 / H03

6105 Windows Server og datanett

MyLocator2 Brukermanual v1.6 ( ) Utdrag av vlocpro2/vlocml2 brukermanual

Kan micro:biten vår brukes som en terning? Ja, det er faktisk ganske enkelt!

Printer Driver. Denne veiledningen beskriver installasjonen av skriverdriveren for Windows Vista. Før denne programvaren brukes

Layout og publisering

HEMIT EKSTRANETT HVORDAN GJØR JEG DET? 03 Laste opp dokumenter

1. Rullende navn, s 3 2. Smilefjes, s 5 3. Skritteller, s 7 4. Orakel, s 9 5. Stein, saks og papir, s Kompass, s 14

10 - Automatisk eksamensplanlegging

I denne Knarrhultguiden skal vi se nærmere på hvordan man lager en varslingsfil for sortering av søyer før lamming. Det er laget fire forskjellige

VMware Horizon View Client. Brukerveiledning for nedlasting, installasjon og pålogging for fjerntilgang

INF3430/4430. Grunnleggende VHDL

4. Dynamisk skjemaer (GUI)

6105 Windows Server og datanett

Installere JBuilder Foundation i Windows XP

I denne Knarrhultguiden skal vi se nærmere på hvordan man lager en varslingsfil for sortering av søyer før lamming. Det er laget fire forskjellige

PC-EN HUSKER ALT FOR DEG ORD FOR ORD. Skriv dagbok

Windows XP. Skrivebord

ebeam Edge består av en elektronisk penn (sender), mottaker, programvare og USB kabel. USB kabelen kobles til i mottakeren.

38. Utskrift - Master Layout

Kjenner du alle funksjonene på tastaturet?

Steg 1: Piler og knappetrykk

NY PÅ NETT. Operativsystemer

Bruk av kildeavskrifter som er merket med grønn kule

Presentasjon. Datakortets modul 6 avgrenser ferdigheter i praktisk bruk av presentasjonsverktøy. Stadig flere ser mulighetene som ligger i

Veileder for opplasting av AKTIV sporlogg til PC

Eksterne enheter Brukerhåndbok

PXT: Bjelleklang. Introduksjon. Skrevet av: Kolbjørn Engeland, Julie Revdahl

BRUK AV TiSferaDesign I RINGETABLÅER MED ELEKTRONISK NAVNELISTE:

Etiming på nærløp. Dersom du får opp vinduet under er filene fra forrige løp flyttet, og du må oppgi hvor systemfilen ligger.

En liten oppskrift på hvordan jeg installert og fikk Xastir til å virke sånn at jeg ble synlig i APRS verden.

Manual for bruk av Priseditor

Steg 1: Installasjon. Steg 2: Installasjon av programvare. ved nettverkstilkoblingen på baksiden av kameraet. Kameraet vil rotere og tilte automatisk.

Brukerveiledning Astra XT- programvare oppsett og kommunikasjons innstillinger.

ØKONOMIAVDELINGEN. Brukerdokumentasjon. Generell funksjonalitet og eksempler Juni 2017

Her er en enkel bruksanvisning på administrasjonspanelet til hjemmesiden din på QTSystems.

Elektronisk hjelp En guide til det innebygde hjelpesystemet

Bli Kjent med Datamaskinen Introduksjon ComputerCraft PDF

Hvordan å lage og publisere ditt personlige visittkort

Flytte innhold fra Fronter til Canvas

DATAUTFORSKNING I EG, EG 7.1 OG EGENDEFINERTE FUNKSJONER SAS FANS I STAVANGER 4. MARS 2014, MARIT FISKAAEN

Oppgavesett videregående kurs i NVivo 9

Ny på nett. Operativsystemer

Installasjonsmanual. Versjon 1.2. Copyright 2002 Devinco AS

INF3430/4430. Grunnleggende VHDL. 11-Sep-06

Om du allerede kjenner Scratch og har en Scratchbruker kan du gå videre til Steg 1.

TDT4110 Informasjonsteknologi, grunnkurs Uke 35 Introduksjon til programmering i Python

ProMed. Brukermanual for installasjon og bruk av mobiltelefon eller SMS og nett for sending av SMS direkte fra. for Windows

Læringsmål og pensum. v=nkiu9yen5nc

Communicate SymWriter: R1 Lage en tavle

Bruksanvisning for innlegging av nyheter på Tana kommunes nettsted

1. NetBeans IDE: Lage en enkel mobilapplikasjon

Brukermanual JDL Core4 ITV

1. Å lage programmer i C++

Bruk av OpenOffice.org 3 Writer

Skriv ordbøker og litteratur på Tegnspråk med en nett-tjener i lommeformat! For ivrige Tegnskrift (SignWriting )- brukere!

Kom i gang med micro:bit

Mars Robotene (5. 7. trinn)

Verdens korteste grunnkurs i Excel (2007-versjonen)

Løypelegging ved bruk av

La oss begynne med de aller mest elementære hurtigtastene som fungerer i nesten alle programmer og som det er svært hendig å kunne.

Hvordan overføre en referanseliste fra et Word- eller PDF-dokument til EndNote

Transkript:

1 Innhold 1 INNHOLD 3 2 ALTERA QUARTUS II. 4 2.1 NYTT PROSJEKT 5 2.2 NY FIL TIL ET EKSISTERENDE PROSJEKT. 10 2.3 BRUK AV BLOKKBASERT BESKRIVELSE 12 2.4 LAGE EGNE FUNKSJONER 14 2.5 TILORDNING AV PINNER 15 2.6 KOMPILERING OG PROGRAMMERING AV FPGA. 17 2.7 SIMULERING AV MASKINVARE BESKRIVELSE. 19 Prosjektgruppe 59 Side 3 av 30

2 Altera Quartus II Quartus II er et kraftig utviklingsverktøy for Alteras FPGAer og CPLDer. Programmet er lisensiert, men finnes i en strippet gratisversjon på Internett. Denne gratisversjonen har fått betegnelsen Web Edition. Den største forskjellen mellom disse versjonene er at gratis versjonen ikke har de samme mulighetene til simulering av design. Dette på grunn av SignalTap II funksjonen ikke er med i denne versjonen. Utseendemessig er disse veldig like. For å programmere kretsen er det i tillegg nødvendig med en kabel som kobler enheten til datamaskinen. Kablene finnes i forskjellige versjoner, RS232 (seriell), USB og parallellport. Det finnes blant annet to typer parallellkabler, der det er viktig å ha riktig type kabel til den kretsen man skal programmere. Valg av kabel er godt forklart i databladene til kretsene. Figur 1 Oppstartsvinduet i Quartus II Side 4 av 30 Prosjektgruppe 59

2.1 Nytt Prosjekt Når en skal beskrive en maskinvare med Quartus II er det best å sortere disse beskrivelsene i såkalte prosjekter. For å lage et nytt prosjekt gjøres dette slik: File -> New Project Wizard Da kommer det opp et hjelpevindu der en del parametrer settes opp for prosjektet. Figur 2 Første side i hjelpevindu for nytt prosjekt Den første siden kan man se i figur 2. Her må en fylle ut: Sti til katalogen som prosjektet skal ligge i. Det er lurt å lage ny katalog for hvert prosjekt. Prosjektets navn. Velg et entydig og beskrivende navn for prosjektet. Quartus II liker ikke space, så prøv å holde seg unna dette tegnet. Bruk heller _. Navnet til toppnivå entiteten (øverste nivå) i designet. Dette er navnet til den filen som ligger øverst i designet. Ofte vil dette navnet være likt prosjektnavnet. Prosjektgruppe 59 Side 5 av 30

Figur 3 Andre side i hjelpevindu for nytt prosjekt På den andre siden av hjelpevinduet kan en legge til filer som er ønskelig å ha med i prosjektet. Disse filene kan være ferdiglagde filer en har laget i andre prosjekter. Filene kan være blokkskjema filer, VHDL, AHDL, Verilog HDL osv. Side 6 av 30 Prosjektgruppe 59

Figur 4 Tredje side av hjelpevindu for nytt prosjekt. EDA (Electronic Design Automation) er tredjeparts tilleggsverktøy, som kan benyttes i stede for Quartus IIs innebygde funksjoner. Disse tilleggsverktøyene kan brukes til simulering, kompilering osv. Mange av disse tilleggsverktøyene er med i Quartus II programpakken. Hvis det er interessert å bruke disse tilleggsverktøyene velger en hvilke funksjoner og verktøy som skal benyttes på denne siden. Prosjektgruppe 59 Side 7 av 30

Figur 5 Fjerde side av hjelpevindu for nytt prosjekt På den fjerde siden av hjelpevinduet velger en hvilken familie FPGAen/CPLDen er fra. Trykk Yes for å bestemme selv hvilken brikke som skal benyttes. Side 8 av 30 Prosjektgruppe 59

Figur 6 Femte side av hjelpevindu for nytt prosjekt. Her velges brikken som skal benyttes. I filterdelen av vinduet kan en filtrere bort alle typer som ikke har en spesiell pakke, pinneantall eller speed grade. Velg riktig type og fortsett til neste side. Prosjektgruppe 59 Side 9 av 30

Figur 7 Sjette side av hjelpevindu for nytt prosjekt. Denne siden er bare en oppsummeringsside der de viktigste valgene presenteres. Trykk Finish og start beskrivelsen av maskinvaren. 2.2 Ny fil til et eksisterende prosjekt. Når en skal lage en ny fil for maskinvarebeskrivelsen kan dette gjøres på flere måter. Man kan benytte knappene på knapperadene i Quartus II eller File -> New (Ctrl+N). Knappene som kan benytte finnes i figur 8. Side 10 av 30 Prosjektgruppe 59

Figur 8 Oppstartsvinduet i Quartus II Ved å bruke knappen New eller å velge New under File menyen kommer et hjelpevindu der en må velge hvilken filtype som skal lages. Figur 9 Meny ved valg av New Det er filene under Device Design Files en får bruk for oftest. Her kan man velge AHDL, VHDL, Verilog HDL filer eller blokkbasert beskrivelse av maskinvaren. Prosjektgruppe 59 Side 11 av 30

Ved å velge New textfile kommer det opp et vindu som ligner en tekst editor, der en kan beskrive maskinvaren i VHDL, AHDL eller Verilog HDL. Etter å ha skrevet inn beskrivelsen i det språket en ønsker, velges riktig filtype ved lagring av filen. Dette gjør at Quartus II kjenner igjen språket ved kompileringen. Ved å velge New block diagram/schematic file kommer et tomt blokkskjema opp som en kan lime symbolene inn i. 2.3 Bruk av Blokkbasert beskrivelse Altera anbefaler alle å benytte blokkbasert beskrivelse av maskinvaren da denne er enklere en de tekst baserte språkene. Beskrivelsen foretas ved å lime inn logiske symboler og blokker, og lage forbindelser mellom disse. Figur 10 Skjermbilde ved Blokkbasert beskrivelse. Ved å trykke på Symbol tool, vil det komme opp en meny over de innebygde funksjonene i Quartus II. Side 12 av 30 Prosjektgruppe 59

Figur 11 Symbolmenyen I denne symbolmenyen vil en i vinduet Libraries få opp symbolbibliotekene i dette prosjektet. Dette biblioteket er ofte delt inn i to. Den ene delen er de innebygde funksjonene i Quartus II, mens den andre er blokker som en har laget i det aktive prosjektet. De egenproduserte blokkene skal utdypes senere. Det innbygde biblioteket inneholder 3 typer forskjellige grupper av funksjoner: Megafunctions inneholder funksjoner der en kan tilpasse pinner og funksjoner ved hjelp av den såkalte Megawizard Plug-in-Manager. Her får en opp en hjelpemeny der en setter opp funksjonen, antall pinner osv. Others inneholder funksjoner som er laget for Max Plus II, men kan også brukes i Quartus II. Dette biblioteket inneholder bl.a. et stort utvalg av 74XX serien. Primitives inneholder de såkalt primitivene som kan brukes i designet. Dette er funksjoner som AND, OR, L-cell (forsinkelse), vipper, I/O-pinner osv. Ønskes funksjonen flere ganger i samme blokkskjema, huk av valget Repeatinsert mode. Da slipper en å gå inn i symbolmenyen flere ganger for å hente samme funksjonen. Når denne funksjonen bindes er det to hovedforbindelser å velge mellom. Den ene er vanlig entrådsforbindelse. Denne velges ved å bruke Orthogonal Node Tool. Den andre forbindelsen er en bussforbindelse og velges ved å bruke Orthogonal Bus Tool. Husk å navngi de forskjellige lederne i bussen hvis denne splittes opp. Dette kan man lese mer om i hjelpefunksjonen til Quartus II. Prosjektgruppe 59 Side 13 av 30

2.4 Lage egne funksjoner Noen ganger kan det være lurt å lage egne funksjoner til senere bruk. Dette kan være funksjoner som blir brukt forskjellige steder i maskinvarebeskrivelsen, men ikke finnes i de innebygde bibliotekene. Disse funksjonene har samme funksjon som sub-rutiner i vanlig programmering. Først lages funksjonen i et eget blokkskjema/egen tekst fil. Husk på å ta med I/O-pinner, men ikke tilordne disse fysiske pinner. Figur 12 Ferdig blokkskjema. Deretter lages et blokksymbol til dette blokkeskjemaet. Dette gjøres ved å velge File Create/Update Create symbolfile for current file. Symbolet for denne funksjonen finnes nå i symbolmenyen. Side 14 av 30 Prosjektgruppe 59

Figur 13 Symbolet til funksjonene Hvis det er ønskelig å editere blokksymbolet kan dette gjøres ved å merke symbolet og trykk høyre musetast. Velg deretter Edit selected symbol. I denne editoren kan en endre størrelse, flytte pinner og forandre utseende på symbolet. 2.5 Tilordning av pinner Før maskinvarebeskrivelsen kan overføres må I/O-pinner tilordnes. Det betyr at alle inn og utganger i maskinvareskrivelsen må tilknyttes en egen I/O-pinne på brikken. Det man først bør gjøre er å gi alle pinnene et entydig navn slik at disse er lett å kjenne igjen senere. Navnsetting gjøres ved å merke I/O-pinnen, trykke høyre musetast og velg Properties. Meny som figur 14 viser kommer da opp. Prosjektgruppe 59 Side 15 av 30

Figur 14 Pin properties Navnet til pinnen fylles ut i blokken Pin name, og verdien Default value, kan man sette den verdien man vil at pinnen skal ha, dersom I/O-pinnen ikke blir tilkoblet. For å tilordne I/O-pinnene, må man første merke I/O-pinnene. For å merke flere pinner hold Shift knappen nede, mens en trykker på I/O-pinnene. Velg så Assignmens -> Assignments editor. Side 16 av 30 Prosjektgruppe 59

Figur 15 Assignment editor Trykk på Pin knappen i Assignment Editor øverst til venstre i vinduet. Da kommer det opp en liste over pinner som kan tilordnes. I kolonnen Location velges hvilken pinne på brikken som skal tilordne til. Etter å ha tilordnet alle pinnene lagres dokumentet, og editoren lukkes ved å trykke på nederste krysset i øverst høyre hjørne. 2.6 Kompilering og programmering av FPGA. Før maskinvarebeskrivelsen kan overføres til FPGAen må denne kompileres. Dette kan gjøres på flere måter. Den enkleste er å starte Compiler Tool. Dette gjøres ved å velge Tools Compiler Tool. En vil da få opp Compiler Tool menyen, se figur 16. Prosjektgruppe 59 Side 17 av 30

Figur 16 Programming tool Trykk Start Compilation for å starte kompileringen. Når kompileringen er over kommer det opp melding om dette. Maskinvarebeskrivelsen er nå klar til å legges inn i FPGAen. Sjekk før programmeringen er at kabelen er satt i for å overføre dataene. Når dette er gjort trykker en på Programming tool knappen. Menyen i figur 17 kommer da opp. Side 18 av 30 Prosjektgruppe 59

Figur 17 Programmeringsmenyen Kryss av i boksen Program/configure og velg Start Programming. Når Quartus II sier at programmeringen er utført kan en teste ut maskinvarebeskrivelsen i brikken. 2.7 Simulering av maskinvare beskrivelse. Det kan i mange tilfeller være ønskelig å simulere maskinvarebeskrivelsen før denne legges inn i FPGAen og testes. Grunnen til dette kan være at en vil teste ut hele eller deler av designet før et eventuelt kretskort er ferdig produsert. Quartus II inneholder flere slike muligheter for å simulere. Man kan simulere ved hjelp av Altera programvare eller ved hjelp av tredjeparts EDAprogramvare. Quartus II har to innebygde metoder for å simulere maskinvarebeskrivelsen. Den ene er den såkalte SignalTap II funksjonen som følger med i full versjonen (lisensiert) av Quartus II. Siden denne funksjonen ikke følger med i Web-Edition (gratis versjonen) vil vi her konsentrere oss om den andre metoden. Denne metoden bruker Altera Simulator som også følger med i Max Plus II. Max Plus II er en annen type utviklingsverktøy levert av Altera. For å simulere ett design må man gjøre følgende: Kompiler alltid maskinvarebeskrivelsen ved start eller ved endringer. Hvis ikke vil en simulere den forrige versjonen av maskinvarebeskrivelsen. Spesifiser oppsettet av simulatoren ved å velge Assignments Simulator settings. En hjelpemeny for oppsettet kommer da opp. Prosjektgruppe 59 Side 19 av 30

Figur 18 Side 1 av hjelpemeny for simulator oppsett På den første siden av hjelpemenyen er det to valg en må sette opp. Focus point er navnet på den øverste entiteten til den delen av designet som skal testes. Skal en del av designet testes skriver en her navnet til den entiteten. Er det hele designet som skal testes skriver en navnet til den øverste entiteten i maskinvarebeskrivelsen. I Settings name skriver en navnet på oppsettet av simulatoren. Ethvert oppsett som lages med denne hjelpemenyen vil bli lagret i prosjektet. Gi derfor et entydig navn til oppsettet. Side 20 av 30 Prosjektgruppe 59

Figur 19 Side 2 av hjelpemeny for simulator oppsett På side to finnes to valg å velge imellom, velg her Timing som Simulation mode. Prosjektgruppe 59 Side 21 av 30

Figur 20 Side 3 av hjelpemeny for simulator oppsett I det første feltet på side tre kan en angi hvilken vektorfil som skal brukes under simuleringen. Denne vektorfilen er inngangsverdiene som de forskjellige I/O-pinner skal ha under simuleringen. Har en ikke allerede har laget denne så kan en krysse av i No boksen. Hvordan en gjør dette blir nærmere omtalt. Det neste valget bør stå krysset av i boksen Yes. Dette valget gjør at når en simulerer så vil simulatoren også vise responsen på pinner i designet en ikke har sagt at en vil se på. Disse responsene kommer frem i Simulator Report Window noe som skal omtales senere. Den neste boksen lar en bare forbli valgt til No. Side 22 av 30 Prosjektgruppe 59

Figur 21 Side 4 av hjelpemeny for simulator oppsett På side 4 er det bare et valg som en må ta stilling til. Valget består her av om en vil simulere til simulatoren har gått gjennom hele vektorfilen eller om den skal stoppe etter en definert tid. Det anbefales at man velger Run simulation until all vector stimuli have been used. Det kan bestemmes hvor lang denne vektoren skal være og på denne måten styrer en dermed også simuleringsintervallet. Prosjektgruppe 59 Side 23 av 30

Figur 22 Side 5 av hjelpemeny for simulator oppsett På side 5 anbefales det at valgene står urørt. Disse valgene kan en lese mer om i brukerhåndboken til Quartus II. Side 24 av 30 Prosjektgruppe 59

Figur 23 Side 6 av hjelpemeny for simulator oppsett Den siste siden av hjelpemenyen er en oppsummering av hvilke valg som er gjort. Er en fornøyd med valgene bekrefter man ved å trykke på Finish knappen. Når oppsettet er gjort må vektorfilen lages slik at simuleringen kan fullføres. Hvis denne filen allerede er laget kan den åpnes nå. Når vektorfilen for simuleringen skal lages er det flere måter å gjøre dette på. Man kan enten trykke på New Vektor Waveform File, New knappen eller velge File New. Hvis en velger det siste alternativet vil følgende meny for filtype komme opp. Prosjektgruppe 59 Side 25 av 30

Figur 24 Valg av filtype Velg Vector Waveform File under Other Files fliken. Når denne er åpnet kommer en til vektoreditor i Quartus II. Side 26 av 30 Prosjektgruppe 59

Figur 25 Vektor editor i Quartus II Det første som må gjøres er å legge inn hvilke innganger en skal lage bitmønster til. Dette gjøres ved å høyreklikke i kolonnen Name og velge Insert Node or Bus. Følgende vindu kommer da opp. Figur 26 Insert Node or Bus Velg deretter Node Finder for å søke etter I/O-pinner. Prosjektgruppe 59 Side 27 av 30

Figur 27 Node Finder I feltet Filter velger en Pins: all og trykk på Start knappen. En liste over alle I/O porter på den øverste entiteten kommer opp i feltet Nodes Found. Merk inngangene ved å holde CTRL knappen nede mens en trykker på dem. Når alle inngangene er merket trykkes knappen >. Dette gjør at de valgte nodene blir overført til feltet Selected Nodes. Trykk deretter OK. Vinduet Insert Node or Bus kommer opp igjen. Trykk OK. Side 28 av 30 Prosjektgruppe 59

Følgende bilde kommer opp. Figur 28 Waveform Editor Det først en nå må gjøre er å sette lengden på inngangsvektoren, noe som igjen bestemmer lengden av simuleringen. Dette gjøres ved å velge Edit End time. Figur 29 Oppsett av vektorlengde Skriv inn simuleringsintervallet og velg OK. Som oftest vil en bare se deler av den fulle lengden på filen. For å se hele lengde høyreklikk og velg Zoom Fit in window. Nå er tiden inne for å lage bitmønster. Merk av et område eller hele tidsperioden for de forskjellige inngangene. Hvis en skal ha samme nivå eller en viss frekvens på hele tidsperioden til en I/O-port, merker en først hele signalet ved å trykke på navnet til porten. Hele tidsperioden blir lys blå. Når perioden er merket velger en hva slags mønster pinnen skal ha ved å trykke på mønstrene i Prosjektgruppe 59 Side 29 av 30

verktøyfeltet. For å få et klokkesignal velg Overwrite clock og sett inn periodetiden. Hvis en skal lage et egendefinert bitmønster må de forskjellige delene av tidsrommet merkes for så å sette dette feltet høyt, lav osv. Et felt merker en ved å trykke venstre museknapp nede og dra over perioden. Velg deretter verdiene i verktøyfeltet. Når alle bitmønstrene er laget lagres filen. For å starte simuleringen kan dette gjøres ved enten å trykke på Start Simulation knappen på knapperaden eller velge Processing -> Start Simulation. Etter simuleringen får en opp et bilde med både inngangs- og utgangssignalene fra simuleringen. Disse bitmønstrene kan editeres, lagres og skrives ut. Side 30 av 30 Prosjektgruppe 59