Gruppe(r): 2EY Eksamenstid, fra-til: Eksamensoppgaven består av. Antall sider: 4 (Inkludert denne)

Størrelse: px
Begynne med side:

Download "Gruppe(r): 2EY 30.05.02. Eksamenstid, fra-til: 09 00-14 00 Eksamensoppgaven består av. Antall sider: 4 (Inkludert denne)"

Transkript

1 HØGSKOLEN I OSLO Avdeling for ingeniørutdanning EKSAMENSOPPGAVE Fag: ELEKTRONIKK II Fagnr: SO313E Faglig veileder: K. H. Nygård, V. Tyssø Gruppe(r): 2EY Dato: Eksamenstid, fra-til: Eksamensoppgaven består av Antall sider: 4 (Inkludert denne) Antall oppgaver: 4 Antall vedlegg: 3 Tillatte hjelpemidler: Alle skrevne og trykte hjelpemidler Kandidaten må selv kontrollere at oppgavesettet er fullstendig. Innføring skal være med blå eller sort penn. Fordel tiden fornuftig. Lykke til og god sommer! Dersom du mener noe i oppgavene er feil eller upresist, kan du gjøre dine egne antagelser som i så fall må begrunnes.

2 2 Oppgave 1. (25%) a. Forklar prinsippet, gjerne ved en skisse, for en Digital/Analog-omformer når den realiseres ved hjelp av et R-2R stigenettverk. b. Forklar hvordan du vil bruke PSpice simulatoren for å kunne verifisere virkemåten til Digital/Analog-omformeren i pkt. a. Vi er her interessert i testprosedyren, det vil si fremgangs måten for å kunne få utført testen relativt enkelt. c. Hvor støter du på betegnelsen part og footprint i OrCAD, og hva beskriver disse? Hva har betegnelsene med nettliste å gjøre? Hvilke nettlister kan genereres fra Capture i OrCAD? d. Hvorfor er det ofte ønskelig å bruke mer enn to lag for et mønsterkort? Forklar hvordan du vil optimalisere 5V og 0V forsyningen til integrerte standardkretser (bruk pakker med 14 pinner) når mønsterkortet består av to og fire lag. Oppgave 2. (25%) a. Vedlegg 3 viser innholdet i en vhdl-fil. Lag en skisse der du påfører navn på signaler og inn-og utganger ifølge koden i vhdl-filen. b. Forklar hva som utføres med koden i vhdl-filen. Skisser inngangssignalene som følger av koden. Hvorfor er det ingen mulighet til å fortelle hva som skjer på utgangene? c. Nedenfor er vist et tilstandsdiagram som er generert av Xilinx-verktøyet. Forklar kort hva som må gjøres for å kunne få en fungerende CPLD på vårt Development Kit. d. Nedenfor er vist innholdet fra en fil som er generert i forbindelse med tilstandsdiagrammet ovenfor. Forklar hva som gjøres med denne filen. NET "carb" NET "clk" NET "resetb" NET "m_green" NET "m_red" LOC = "S:PIN142"; LOC = "S:PIN128"; LOC = "S:PIN137"; LOC = "S:PIN109"; LOC = "S:PIN133";

3 3 Oppgave 3 ( 25 % ) CPU Inn-port Minne Ut-port Systembuss : Adressebuss Databuss Kontrollbuss Figuren over viser en prinsippskisse for mikrokontrollere. I det følgende refererer 8515 til mikrokontrolleren AT90S8515 a) Hvor mange digitale Inn/Ut-porter har 8515? Forklar kort hvordan disse initialiseres. b) I 8515 deles minnet i FLASH og SRAM. Forklar hva som menes med FLASH og SRAM, angi størrelsen på hvert av disse lagringsmediene og hva som lagres i disse for c) I 8515 finner vi noen registre som omtales som GPR. Hvor mange slike registre har 8515, hvordan adresseres de, og hva brukes de til? d) I tillegg til vanlige Inn/Ut-porter kan 8515 kommunisere gjennom UART. Forklar kort hva slags kommunikasjon dette er. e) Forklar hvordan en 8515 med klokkefrekvens 4 khz kan programmeres til å utføre en funksjon nøyaktig 10 ganger pr. sekund.

4 4 Oppgave 4 ( 25 % ) Du skal i denne oppgaven analysere og fullføre mikrokontrollerprogrammet vist til høyre. Det er skrevet for mikrokontrolleren AT90S8515 på et treningsbrett med bryterinnganger koblet til D-porten og lysdioder koblet til B-porten. Programmet er delt opp i seksjoner A, B, C, D, E, F og G. Seksjon F er foreløpig blank. Denne seksjonen skal initialisere avbrudd fra bryter D2 a) Analyser hver enkelt seksjon (unntatt F). Forklar hva de forskjellige instruksjonene gjør og hvilken funksjon hver enkelt seksjon har. b) I hvilken rekkefølge utføres seksjonene fra det øyeblikke mikrokontrolleren slås på (resettes)? c) Skriv de nødvendige instruksjonene for seksjon F for at bryter D2 skal gi avbrudd idet den trykkes ned. d) Hvordan vil treningsbrettet virke når det ferdige programmet er lastet ned i mikrokontrolleren? ; A ----.nolist.include "8515def.inc".list.def temp=r16.def telle = R17 ; B ----.org 0 rjmp D.org 1 rjmp C.org 0x20 ; C ---- C: push temp in temp, sreg push temp inc telle pop temp out sreg, temp pop temp reti ; D ---- D: ldi temp,0xff out ddrb,temp out portb,temp clr telle ; E ---- ldi temp,2 out sph,temp ldi temp,0x5f out spl,temp ; F ---- ; G ---- G: com telle out portb, telle com telle rjmp G

5 VEDLEGG 1, registeret MCUCR

6 VEDLEGG 2, registeret GIMSK l

7 7 VEDLEGG 3, VHDL LIBRARY ieee; LIBRARY UNISIM; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; USE UNISIM.Vcomponents.ALL; ENTITY testbench IS END testbench; ARCHITECTURE behavioral OF testbench IS BEGIN COMPONENT prosj1 PORT( exam1 : IN STD_LOGIC; exam2 : IN STD_LOGIC; exam3 : IN STD_LOGIC; exam4 : OUT STD_LOGIC; exam5 : OUT STD_LOGIC); END COMPONENT; SIGNAL exam1 : STD_LOGIC; SIGNAL exam2 : STD_LOGIC; SIGNAL exam3 : STD_LOGIC; SIGNAL exam4 : STD_LOGIC; SIGNAL exam5 : STD_LOGIC; UUT: prosj1 PORT MAP( exam1 => exam1, exam2 => exam2, exam3 => exam3, exam4 => exam4, exam5 => exam5 ); process begin exam1 <= '0'; wait for 50 ps; exam1 <= '1'; wait for 50 ps; end process; exam2 <= '0', '1' after 25 ps; exam3 <= '1', '0' after ns; END;

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE Emne: Gruppe(r): 2E Eksamensoppgaven består av: ELEKTRONIKK II Antall sider (inkl. forsiden): 4 Emnekode: SO 313E Dato: 5. juni 2003 Antall oppgaver: 8 Faglig

Detaljer

CodevisionAVR. Start CodevisionAVR. Velg Settings Terminal og sett opp kommunikasjonsparametrene som figur 1 viser. avrlab07a.doc HVE RI Arnfinn Lunde

CodevisionAVR. Start CodevisionAVR. Velg Settings Terminal og sett opp kommunikasjonsparametrene som figur 1 viser. avrlab07a.doc HVE RI Arnfinn Lunde AVR-LAB 07 Echo: Seriell I/O side 1 av 5 Hensikt I denne øvelsen benytter vi RS-232 overføring av data mellom STK-200 og vår PC. Programmet Terminalprogrammet på CodevisionAVR benyttes på PC. Karakterer

Detaljer

Avdeling for ingeniørutdanning Institutt for teknologi

Avdeling for ingeniørutdanning Institutt for teknologi Avdeling for ingeniørutdanning Institutt for teknologi Oppgavetittel: Obligatorisk prosjektoppgave 1 Fag(nr./navn): Maskinvareutvikling DMVA-2060 Gruppemedlemmer: T. Alexander Lystad Faglærer: Zoran Dokic

Detaljer

Dato: 30/5/02. I Kallcuia"iOr, Alle sq-evne og trjkte hjeipemialer

Dato: 30/5/02. I KallcuiaiOr, Alle sq-evne og trjkte hjeipemialer Fag: Mikrokontrollere Fagnr: SO396E. Faglig veileder:' I Veslemøy Tyssø I li(lass~:2e-r..- Eksamensoppgaven - består av Tillatte hjelpemidler: Dato: 30/5/02 I Eksamenstid, I fra - til: 0900-1200 ~tall

Detaljer

Avdeling for ingeniørutdanning Elektrogrunnlagslaboratoriet DIGITALE SYSTEMER

Avdeling for ingeniørutdanning Elektrogrunnlagslaboratoriet DIGITALE SYSTEMER Avdeling for ingeniørutdanning Elektrogrunnlagslaboratoriet DIGITALE SYSTEMER Oppgavens navn: Styring av stepmotor Lab. oppgave nr.: M3 Dato utført: Klasse: Protokoll skriver : Gruppe: Øvrige gruppedeltagere

Detaljer

TELE2010A Digital Systemkonstruksjon

TELE2010A Digital Systemkonstruksjon TELE2010A Digital Systemkonstruksjon Øving 3/2015 Del 1, Teller: Husk å arbeide i det lokale arbeidsområdet på disken. Kopier filene til serveren når dere er ferdig for å kunne bruke dem neste gang. max_tall

Detaljer

Store design. Kapittel 6

Store design. Kapittel 6 Store design Kapittel 6 Hierarki hvorfor bruke det Dele opp designet i håndterbare designenheter. Fokusere på mindre, håndterbare enheter vil føre til færre feil og raskere debugging av feil. Verifisere

Detaljer

Antall vedlegg O Tillatte hjelpemidler:

Antall vedlegg O Tillatte hjelpemidler: ~ Emne: Mekatronikk Emnekode. SO504M Faglig ansvarlig Gruppe(r): 3MM pato: 16.12.03 Eksamenstid 0900-1400 Eksamensoppgaven består av Anta]] sider: 5 Antall oppgaver: 4 Antall vedlegg O Tillatte hjelpemidler:

Detaljer

Tilstandsmaskiner (FSM) Kapittel 5

Tilstandsmaskiner (FSM) Kapittel 5 Tilstandsmaskiner (FSM) Kapittel 5 1) Sette opp tilstandsdiagram Tradisjonell konstruksjonsmetode 2) Sette opp tilstandstabell ut fra tilstandsdiagrammet Nåværende tilstand (PS) og input Neste tilstand

Detaljer

INF3430. Fasit eksamen Høst 2009. Oppgave 1 6. Oppgave A B C D E 1 X X 2 X 3 X X 4 X X 5 X X 6 X

INF3430. Fasit eksamen Høst 2009. Oppgave 1 6. Oppgave A B C D E 1 X X 2 X 3 X X 4 X X 5 X X 6 X INF3430. Fasit eksamen Høst 2009. Oppgave 1 6. Oppgave A B C D E 1 X X 2 X 3 X X 4 X X 5 X X 6 X INF3430 Eksamen H09 VHDL besvarelse Oppgave 7: signal_values INF3430 - H09 1 INF3430 Eksamen H09 VHDL besvarelse

Detaljer

Antall sider:5 (Inkludert denne) Alle skrevne og trykte hjelpemidler samt kalkulator

Antall sider:5 (Inkludert denne) Alle skrevne og trykte hjelpemidler samt kalkulator Avdeling for ingeniørutdanning Fag: lnformatikkm Groppe(r): 2ET Ek~nsoppgaveD består av Tillatte hjelpemidler: Antall sider:5 (Inkludert denne) Fagnr: SO654E Dato: 11.06.2002 Antall oppgaver: 7 Faglig

Detaljer

Avdeling for ingeniørutdanning

Avdeling for ingeniørutdanning Avdeling for ingeniørutdanning Fag TELETEKNIKK Fagnr: SO653E Faglig veileder: K. H. NygArd, H. Fylling Gruppe(r): 2ET Dato: 16.08.01 Eksamenstid. fra-til: 0900_1400 Eksamensoppgaven består av Tillatte

Detaljer

Synkron logikk. Sekvensiell logikk; to typer:

Synkron logikk. Sekvensiell logikk; to typer: Sekvensiell logikk De fleste digitale systemer har også minneelementer (f.eks flipflopper) i tillegg til kombinatorisk logikk, og kalles da sekvensiell logikk Output i en sekvensiell krets er avhengig

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1400 Digital teknologi Eksamensdag: 5. desember 2005 Tid for eksamen: 9-12 Vedlegg: Tillatte hjelpemidler: Oppgavesettet er

Detaljer

KANDIDATEN MÅ SELV KONTROLLERE AT OPPGAVESETTET ER FULLSTENDIG

KANDIDATEN MÅ SELV KONTROLLERE AT OPPGAVESETTET ER FULLSTENDIG EKSAMENSOPPGAVE Fag: Lærer: IAD20003 Algoritmer og datastrukturer André Hauge Grupper: D2A Dato: 21.12.2004 Tid: 0900-1300 Antall oppgavesider: 5 med forside Antall vedleggssider: 0 Hjelpemidler: Alle

Detaljer

INF3430/4430. Grunnleggende VHDL

INF3430/4430. Grunnleggende VHDL INF3430/4430 Grunnleggende VHDL 26.09.2005 20.57 Agenda Entity/architecture Strukturelle design (netlist) Generics Configurations Operatorer-Operator presedence Datatyper Bit / IEEE1164 Std_ulogic /std_logic

Detaljer

Høgskolen i Sør-Trøndelag Avdeling for teknologi

Høgskolen i Sør-Trøndelag Avdeling for teknologi Høgskolen i Sør-Trøndelag Avdeling for teknologi Eksamensdato: 3. desember 2010 Program for elektro- og datateknikk Varighet: Emnekode: Emnenavn: 5 timer EDT304T Digital Systemkonstruksjon Studiepoeng:

Detaljer

; org 0x80 ;test for nedtrykt bryter 1 loop1: sbic pind,1 rjmp loop1 rcall sub1 loop2: rjmp loop2 Listing 1.

; org 0x80 ;test for nedtrykt bryter 1 loop1: sbic pind,1 rjmp loop1 rcall sub1 loop2: rjmp loop2 Listing 1. Hensikt I denne øvelsen viser vi hvordan vi kaller subrutiner og kommer tilbake fra subrutiner i assemblerprogrammering. Demonstrasjonsprogrammet nedenfor skal vi benytte. Oppgaven går ut på å forklare

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO Side 1 UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1400 Eksamensdag: Fredag 3. desember Tid for eksamen: kl. 14:30-18:30 (4 timer). Oppgavesettet er på side(r) 7 sider

Detaljer

består av 7 sider inklusiv denne forsiden og vedlegg. Kontroller at oppgaven er komplett før du begynner å besvare spørsmålene.

består av 7 sider inklusiv denne forsiden og vedlegg. Kontroller at oppgaven er komplett før du begynner å besvare spørsmålene. Høgskolen i østfold EKSAMEN Emnekode: ITD13012 Dato: Emnenavn: Datateknikk Eksamenstid: 10.5.16 9.00 12.00, 3 timer Hjelpemidler: To A4-ark (fire sider) med egne notater Faglærer: Robert Roppestad "Ikke-kommuniserende"

Detaljer

INF3430/4430. Grunnleggende VHDL. 11-Sep-06

INF3430/4430. Grunnleggende VHDL. 11-Sep-06 INF3430/4430 Grunnleggende VHDL 11-Sep-06 Agenda Entity/architecture Strukturelle design (netlist) Generics Configurations Operatorer-Operator presedence Datatyper Bit / IEEE1164 Std_ulogic /std_logic

Detaljer

INF3430/4431. VHDL byggeblokker og testbenker

INF3430/4431. VHDL byggeblokker og testbenker INF3430/4431 VHDL byggeblokker og testbenker Entity/architecture Innhold Strukturelle design (nettliste) Generics Configurations Operatorer-Operator prioritet (precedence) Datatyper Bit / IEEE1164 std_ulogic

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Program for elektro- og datateknikk Kandidatnr: Eksamensdato: Lengd/eksamenstid: Emnekode: Emnenamn: Klasse: Studiepoeng: Faglerar: Forslag på svar for

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Kandidatnr: Eksamensdato: 13.desember 2013 Varighet/eksamenstid: 09.00-12.00 Emnekode: Emnenavn: Klasse: EDT208T-A Programmerbare logiske styringer 3EK

Detaljer

Antall sider: 5. NEK400: 2002, u1g.2. Audkjell Aksdal: Elektriske anlegg - installasjoner

Antall sider: 5. NEK400: 2002, u1g.2. Audkjell Aksdal: Elektriske anlegg - installasjoner HØGSKOLEN I OSLO Avdelingfor ingeniørutdanning Fag: ELEKTRISKE INSTALLASJONER Fagnr: SO344E Faglig veileder Helge Hansen Gm~(r): Dato Eksamensti d, 190203 fra-til: 0900-1200 Eksamensoppgaven består av

Detaljer

EKSAMENSOPPGAVE. IAI20102 Algoritmer og datastrukturer

EKSAMENSOPPGAVE. IAI20102 Algoritmer og datastrukturer EKSAMENSOPPGAVE Fag: Lærer: IAI00 Algoritmer og datastrukturer André A. Hauge Dato:..005 Tid: 0900-00 Antall oppgavesider: 5 med forside Antall vedleggssider: 0 Hjelpemidler: Alle trykte og skrevne hjelpemidler,

Detaljer

EKSAMENSOPPGA VE. Antall sider inkludert forside: 5 Alle, også notater, kalkulator

EKSAMENSOPPGA VE. Antall sider inkludert forside: 5 Alle, også notater, kalkulator HØGSKOLEN I OSLO Avdelingfor ingeniørutdanning EKSAMENSOPPGA VE Fag Høyspenningssystemer Fagnr: sa 391E Faglig veileder: Joseph Hewitt, HiO Tor Arne Folkestad, HiG Gruppe(r): 3EE Eksamensoppgaven består

Detaljer

Høgskoleni østfold EKSAMEN. Oppgavesettet består av 8 sider inklusiv denne forsiden og vedlegg.

Høgskoleni østfold EKSAMEN. Oppgavesettet består av 8 sider inklusiv denne forsiden og vedlegg. Høgskoleni østfold EKSAMEN Emnekode:Emne: ITD13012Datateknikk Dato:Eksamenstid: 13. mai 2015kl. 09.00 til k1.12.00, 3 timer Hjelpemidler: to A4-ark (fire sider) med egne notater Ikke-kommuniserende kalkulator

Detaljer

INF3430/4431 Høsten Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter

INF3430/4431 Høsten Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter INF343/443 Høsten 2 Laboppgave 2 VHDL-programmering Funksjoner og prosedyrer/bibliotek Styring av sjusegmenter Innledning. Målene med denne laboppgaven er å lære om subprogrammer og biblioteker i VHDL

Detaljer

Kombinatorisk og synkron logikk. Kapittel 4

Kombinatorisk og synkron logikk. Kapittel 4 Kombinatorisk og synkron logikk Kapittel 4 Eksempel; FIFO First-In-First-Out Eksempelet i boka er en noe redusert fifo (mangler empty flag, full flag osv.), men har de viktigste elementene Denne FIFOen

Detaljer

Tittel Objektorientert systemutvikling 2

Tittel Objektorientert systemutvikling 2 EKSAMENSFORSIDE Fagnr. OBJ208 Tittel Objektorientert systemutvikling 2 Ansvarlig faglærer Viggo Holmstedt Klasse(r) Dato IS/IN 2 11.06.2009 Eksamensoppgaven Ant. sider inkl. består av følgende: forside

Detaljer

MAX MIN RESET. 7 Data Inn Data Ut. Load

MAX MIN RESET. 7 Data Inn Data Ut. Load UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i IN 240 çç Digital Systemkonstruksjon Eksamensdag: 6. desember 2000 Tid for eksamen: 9.00 ç 15.00 Oppgavesettet er p 5 sider. Vedlegg:

Detaljer

Oppgave 1 En 4-input Xilinx LUT med innhold 9009 (hex) realiserer en: A xor-xor-or B xor-xor-nand C xor-xor-nor D xor-xor-and E xor-xor-xor

Oppgave 1 En 4-input Xilinx LUT med innhold 9009 (hex) realiserer en: A xor-xor-or B xor-xor-nand C xor-xor-nor D xor-xor-and E xor-xor-xor Oppgave 1 En 4-input Xilinx LU med innhold 9009 (hex) realiserer en: Oppgave 2 PGA-teknologi A xor-xor-or B xor-xor-nand C xor-xor-nor D xor-xor-and E xor-xor-xor A orbindslinjer mellom LU er har vanligvis

Detaljer

INF 3430/4431. Simuleringsmetodikk

INF 3430/4431. Simuleringsmetodikk INF 3430/4431 Simuleringsmetodikk Innhold Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Fil-operasjoner Eksempel på SRAM modell og simulering av lesing fra denne INF3430/4431

Detaljer

INF3430. VHDL byggeblokker og testbenker

INF3430. VHDL byggeblokker og testbenker INF3430 VHDL byggeblokker og Innhold Entity/architecture Strukturelle design (nettliste) Generics Configurations Operatorer-Operator prioritet (precedence) Datatyper Bit / IEEE1164 std_ulogic /std_logic

Detaljer

Antall sider:s (inkludert denne) Alle skrevne og trykte hjelpemidler samt kalkulator

Antall sider:s (inkludert denne) Alle skrevne og trykte hjelpemidler samt kalkulator A vdeling for ingeniørutdanning Fag: INFORMATIKK m Gruppe(r): Fagnr: SO654E Dato: 14.08.2002 Faglig veileder: Hilde Hemmer og Tore Jonassen Eksamenstid, fra-til: 0900_1400 Eksamensoppgaven består av Antall

Detaljer

Emne: Datamaskinarkitektur Emnekode:lO 134A Faglig veileder: Lars Kristiansen

Emne: Datamaskinarkitektur Emnekode:lO 134A Faglig veileder: Lars Kristiansen I Gruppe(r): I G høgskolen i oslo Emne: Datamaskinarkitektur Emnekode:lO 34A Faglig veileder: Lars Kristiansen Dato: Eksamenstid: 09.00-2.00 Eksamensoppgaven Antall sider (inkl. består av: ; forsiden):

Detaljer

INF3430 Høsten ChipScope PRO - En kort innføring

INF3430 Høsten ChipScope PRO - En kort innføring INF3430 Høsten 2008 ChipScope PRO - En kort innføring Innhold Innledning... 3 Generering av Chipscope kjerner... 4 Generering av ICON (Integrated Controller) modul... 4 Generering av ILA (Integrated Logic

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Side 1 Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1010 Objektorientert programmering Eksamensdag: Tirsdag 12. juni 2012 Tid for eksamen: 9:00 15:00 Oppgavesettet er

Detaljer

INF 3430/4430. Simuleringsmetodikk

INF 3430/4430. Simuleringsmetodikk INF 3430/4430 Simuleringsmetodikk Innhold Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Fil-operasjoner Eksempel på SRAM modell og simulering av lesing fra denne INF3430 Side

Detaljer

INF 3430/4430. Simuleringsmetodikk

INF 3430/4430. Simuleringsmetodikk INF 3430/4430 Simuleringsmetodikk 02.11.2005 Agenda Event driven simulation Simulering av VHDL-modeller Selvtestende testbenker Verifikasjon av syntetisert/plassert design mot RTL-kode Fil-operasjoner

Detaljer

EKSAMEN. Dato: 9. mai 2016 Eksamenstid: 09:00 13:00

EKSAMEN. Dato: 9. mai 2016 Eksamenstid: 09:00 13:00 EKSAMEN Emnekode: ITF20006 Emne: Algoritmer og datastrukturer Dato: 9. mai 2016 Eksamenstid: 09:00 13:00 Hjelpemidler: Alle trykte og skrevne Faglærer: Jan Høiberg Om eksamensoppgavene: Oppgavesettet består

Detaljer

Eksamensoppgave i TDT4258 Energieffektive Datamaskinsystemer

Eksamensoppgave i TDT4258 Energieffektive Datamaskinsystemer Institutt for Datateknikk og Informasjonsvitenskap Eksamensoppgave i TDT4258 Energieffektive Datamaskinsystemer Faglig kontakt under eksamen: Magnus Jahre Tlf.: 952 22 309 Eksamensdato: 19. Mai 2014 Eksamenstid

Detaljer

AVSLUTTENDE EKSAMEN I. TDT4160 Datamaskiner Grunnkurs. Torsdag 29. November 2007 Kl. 09.00 13.00

AVSLUTTENDE EKSAMEN I. TDT4160 Datamaskiner Grunnkurs. Torsdag 29. November 2007 Kl. 09.00 13.00 Side 1 av 11 NTNU Norges teknisk-naturvitenskapelige universitet BOKMÅL Fakultet for informasjonsteknologi, matematikk og elektroteknikk Institutt for datateknikk og informasjonsvitenskap AVSLUTTENDE EKSAMEN

Detaljer

Entities and architectures. Kapittel 3

Entities and architectures. Kapittel 3 Entities and architectures Kapittel 3 VHDL program Én fil Entities and architectures Entity declaration og architecture body Analogi til en IC: Entiteten beskriver interfacet til omgivelsen (pakkens tilkoblingspinner)

Detaljer

Dagens temaer. temaer hentes fra kapittel 3 i Computer Organisation. av sekvensielle kretser. and Architecture. Tilstandsdiagram.

Dagens temaer. temaer hentes fra kapittel 3 i Computer Organisation. av sekvensielle kretser. and Architecture. Tilstandsdiagram. Dagens temaer 1 Dagens Sekvensiell temaer hentes fra kapittel 3 i Computer Organisation and Architecture logikk Flip-flop er Design av sekvensielle kretser Tilstandsdiagram Tellere og registre Sekvensiell

Detaljer

Kandidaten må selv kontrollerer at oppgavesettet er fullstendig. Innføring skal være med blå eller sort penn

Kandidaten må selv kontrollerer at oppgavesettet er fullstendig. Innføring skal være med blå eller sort penn Side 1 Høgskolen i Oslo Avdelingfor ingeniørutdanning Kandidaten må selv kontrollerer at oppgavesettet er fullstendig. Innføring skal være med blå eller sort penn Les igjennom ~ oppgaver før du begynner

Detaljer

Avdelingfor ingeniørntdanning

Avdelingfor ingeniørntdanning Avdelingfor ingeniørntdanning Fag: TELETEKNKK Fagnr: S0653E Faglig veileder: K H Nygård, H Fylling Gruppe( r ): let Dato: 060601 Eksamenstid, 0900_1400 fra-til: Eksamensoppgaven består av Antall sider:

Detaljer

, ~', -~ lalle trykte og skrevne hjelpemidler. I Kalkulator som ikke kan kommunisere med andre.

, ~', -~ lalle trykte og skrevne hjelpemidler. I Kalkulator som ikke kan kommunisere med andre. i G h øgskolen i oslo Emne: Datamaskinarkitektur Emnekode:lOl23 Faglig veileder: Lars Kristiansen. Gruppe(r):, ~', -~ Dato:. - - ~ U..) Eksamenstid: Eksamensoppgaven består av: ntall sider (inkl. I forsiden):

Detaljer

HØGSKOLEN I SØR-TRØNDELAG

HØGSKOLEN I SØR-TRØNDELAG HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi LØSNINGSFORSLAG Eksamensdato: 13.desember 2013 Varighet/eksamenstid: 09.00-12.00 Emnekode: Emnenavn: Klasse: EDT208T-A Programmerbare logiske styringer

Detaljer

Innlevering 2b i INF2810, vår 2017

Innlevering 2b i INF2810, vår 2017 Innlevering 2b i INF2810, vår 2017 Dette er del to av den andre obligatoriske oppgaven i INF2810. Man kan oppnå 10 poeng for oppgavene i 2b, og man må ha minst 12 poeng tilsammen for 2a + 2b for å få godkjent.

Detaljer

Versjon2.0/ ChipScope PRO - En kort innføring

Versjon2.0/ ChipScope PRO - En kort innføring Versjon2.0/29.09.2013 ChipScope PRO - En kort innføring Innhold Innledning...3 Generering av Chipscope kjerner...4 Generering av ICON (Integrated Controller) modul...6 Generering av ILA (Integrated Logic

Detaljer

Agenda Funksjoner og prosedyrer. Funksjoner

Agenda Funksjoner og prosedyrer. Funksjoner Aga Funksjoner og prosedyrer Funksjoner Operatorer Standard funksjoner/operatorer Overloading Package og Package body Operator inferencing Prosedyrer Side 1 Funksjoner(1) Benyttes mye i modeller for simulering

Detaljer

Emnenavn: Datateknikk. Eksamenstid: 3 timer. Faglærer: Robert Roppestad. består av 5 sider inklusiv denne forsiden, samt 1 vedleggside.

Emnenavn: Datateknikk. Eksamenstid: 3 timer. Faglærer: Robert Roppestad. består av 5 sider inklusiv denne forsiden, samt 1 vedleggside. Høgskolen i østfold EKSAMEN Emnekode: ITD13012 Dato: 2.12.2016 Hjelpemidler: To (2) A4-ark (fire sider) med egne notater Hlø-kalkulator som kan lånes under eksamen Emnenavn: Datateknikk Eksamenstid: 3

Detaljer

Eksamensoppgaven: Hele oppgavesettet består av 8 oppgaver. Hver oppgave har en %-angivelse som angir hvor mye den teller ved sensurering.

Eksamensoppgaven: Hele oppgavesettet består av 8 oppgaver. Hver oppgave har en %-angivelse som angir hvor mye den teller ved sensurering. Informasjon om eksamen EKSAMEN Emnekode og -navn: ITD13012 Datateknikk, deleksamen 2 Dato og tid: 15. mai 2018, 3 timer (fra 09:00 til 12:00) Faglærer: Robert Roppestad Tillatte hjelpemidler: To A4-ark

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1400 Digital teknologi Eksamensdag: 3. desember 2008 Tid for eksamen: 14:30 17:30 Oppgavesettet er på 5 sider Vedlegg: 1 Tillatte

Detaljer

AVDELING FOR TEKNOLOGI

AVDELING FOR TEKNOLOGI AVDELING FOR TEKNOLOGI PROGRAM FOR ELEKTRO- OG DATATEKNIKK Eksamensdato: 10. mai 2011 Varighet: 0900 1400 Fagnr. / navn: EDT205T MIKROPROSESSORSYSTEMER Klasse(r): 2EE Faglærer(e): Rolf Kristian Snilsberg,

Detaljer

EKSAMEN Emnekode: ITD13012

EKSAMEN Emnekode: ITD13012 EKSAMEN Emnekode: ITD13012 Dato: 29.11.2017 Hjelpemidler: To (2) A4-ark (fire sider) med egne notater. HIØ-kalkulator som kan lånes under eksamen. Emnenavn: Datateknikk Eksamenstid: 3 timer Faglærer: Robert

Detaljer

HØGSKOLEN I SØR-TRØNDELAG

HØGSKOLEN I SØR-TRØNDELAG HØGSKOLEN I SØR-TRØNDELAG Avdeling for informatikk og e-læring - AITeL Kandidatnr: Eksamensdato: 21.mai 2007 Varighet: Fagnummer: Fagnavn: Klasse(r): Studiepoeng: 6 09.00 13.00 (4 timer) LN116D Programmering

Detaljer

INF1400 Kap4rest Kombinatorisk Logikk

INF1400 Kap4rest Kombinatorisk Logikk INF4 Kap4rest Kombinatorisk Logikk Hovedpunkter Komparator Dekoder/enkoder MUX/DEMUX Kombinert adder/subtraktor ALU FIFO Stack En minimal RISC - CPU Komparator Komparator sammenligner to tall A og B 3

Detaljer

A vdel ing for ingeniørutdanning

A vdel ing for ingeniørutdanning J. A vdel ing for ingeniørutdanning Fag: M at e ri all æ r ejh u sb y ggin gst e knikk Gruppe(r): 2BA, 2BB Eksamensoppgaven består av Fagnr: LO270B Dato: 10.12.01 Faglig veileder: Morten Opsahl Eksarnenstid,

Detaljer

Eksamensoppgave i TDT4258 Energieffektive Datamaskinsystemer

Eksamensoppgave i TDT4258 Energieffektive Datamaskinsystemer Institutt for Datateknikk og Informasjonsvitenskap Eksamensoppgave i TDT4258 Energieffektive Datamaskinsystemer Faglig kontakt under eksamen: Magnus Jahre Tlf.: 952 22 309 Eksamensdato: 19. Mai 2014 Eksamenstid

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO BOKMÅL Det matematisk-naturvitenskapelige fakultet Eksamen i : Eksamensdag : Torsdag 2. desember 2004 Tid for eksamen : 09.00 12.00 Oppgavesettet er på : Vedlegg : Tillatte hjelpemidler

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Målform: Eksamensdato: 17.12.2014 Varighet/eksamenstid: Emnekode: Emnenavn: Klasse(r): 3 timer TELE1001A 14H Ingeniørfaglig yrkesutøving og arbeidsmetoder

Detaljer

EKSAMEN I TDT4160 DATAMASKINER GRUNNKURS

EKSAMEN I TDT4160 DATAMASKINER GRUNNKURS Norges teknisk naturvitenskapelige universitet Institutt for datateknikk og informasjonsvitenskap Side 1 av 12 Faglig kontakt under eksamen: Magnus Jahre (952 22 309) EKSAMEN I TDT4160 DATAMASKINER GRUNNKURS

Detaljer

Emnekode: Faglig veileder: Veslemøy Tyssø Bjørn Ena~bretsen. Gruppe(r): I Dato: Alle skrevne og trykte hjelpemidler, skrivesaker og kalkulator

Emnekode: Faglig veileder: Veslemøy Tyssø Bjørn Ena~bretsen. Gruppe(r): I Dato: Alle skrevne og trykte hjelpemidler, skrivesaker og kalkulator G høgskolen i oslo Emne: Kybemetikk Emnekode: to 358E Faglig veileder: Veslemøy Tyssø Bjørn Enabretsen. Gruppe(r): Dato: Eksamenstid: ST - 2E i 7. juni 2005 ' Eksamensoppgaven består av: forsiden): 7 5

Detaljer

En mengde andre typer som DVD, CD, FPGA, Flash, (E)PROM etc. (Kommer. Hukommelse finnes i mange varianter avhengig av hva de skal brukes til:

En mengde andre typer som DVD, CD, FPGA, Flash, (E)PROM etc. (Kommer. Hukommelse finnes i mange varianter avhengig av hva de skal brukes til: 2 Dagens temaer Dagens 4 Sekvensiell temaer hentes fra kapittel 3 i Computer Organisation and Architecture Design Flip-flop er av sekvensielle kretser Tellere Tilstandsdiagram og registre Sekvensiell Hvis

Detaljer

Antall sider (inkl. forsiden): 7. Alle trykte og håndskrevne

Antall sider (inkl. forsiden): 7. Alle trykte og håndskrevne Side 1 av 7 Bokmålstekst Emne: PROGRAMMERING (nytt pensum, 10 studiep.) Grupper: laa, lab, lac, lia, lib, lic Eksamensoppgaven best~r av: Tillatte hjelpemidler: Antall sider (inkl. forsiden): 7 Alle trykte

Detaljer

EKSAMEN (Del 1, høsten 2015)

EKSAMEN (Del 1, høsten 2015) EKSAMEN (Del 1, høsten 2015) Emnekode: ITD13012 Emne: Datateknikk Dato: 02.12.2015 Eksamenstid: kl 0900 til kl 1200 Hjelpemidler: Faglærer: to A4-ark (fire sider) med egne notater Robert Roppestad "ikke-kommuniserende"

Detaljer

1. del av Del - EKSAMEN

1. del av Del - EKSAMEN 1. del av Del - EKSAMEN Emnekode: ITD13012 Emne: Datateknikk Dato: 27. November 2012 Eksamenstid: kl 9:00 til kl 12:00 Hjelpemidler: 4 sider (A4) (2 ark) med egne notater. Ikke-kummuniserende kalkulator.

Detaljer

Emnekode: sa 318E. Pensumlitteratur ( se liste nedenfor), fysiske tabeller, skrivesaker og kalkulator

Emnekode: sa 318E. Pensumlitteratur ( se liste nedenfor), fysiske tabeller, skrivesaker og kalkulator I I ~ høgskolen i oslo Emne: Gruppe(r): Eksamensoppgav en består av: Kybernetikk 2EY Antall sider (inkl. forsiden): 5 Emnekode: sa 318E Dato: 15. iuni 2004 Antall OPfgaver: Faglig veileder: Vesle møy Tyssø

Detaljer

EKSAMEN. Informasjon om eksamen. Emnekode og -navn: ITD13012 Datateknikk. Dato og tid: timer. Fagansvarlig: Robert Roppestad

EKSAMEN. Informasjon om eksamen. Emnekode og -navn: ITD13012 Datateknikk. Dato og tid: timer. Fagansvarlig: Robert Roppestad Informasjon om eksamen EKSAMEN Emnekode og -navn: ITD13012 Datateknikk Dato og tid: 13.5.19 3 timer Fagansvarlig: Robert Roppestad Hjelpemidler: - to A4-ark (fire sider) med egne notater - godkjent kalkulator

Detaljer

Avdeling for ingeniørutdanning

Avdeling for ingeniørutdanning Avdeling for ingeniørutdanning MA TERIALLÆREfJ'IL VIRKNINGSTEKNIKK Gruppe: Eksamensoppgaven består av Tillatte hjelpemidler: Antall sider: 6 inkl. forsiden Tekniske regnetabeller. Kalkulator Fagnr: LO

Detaljer

TDT4160 AUGUST, 2008, 09:00 13:00

TDT4160 AUGUST, 2008, 09:00 13:00 Norwegian University of Science and Technology Faculty of Information Technology, Mathematics and Electrical Engineering The Department of Computer and Information Science TDT4160 DATAMASKINER GRUNNKURS

Detaljer

Emnekode: LO 358E. OYAo~~ Alle skrevne og trykte hjelpemidler, skrivesaker og kalkulator

Emnekode: LO 358E. OYAo~~ Alle skrevne og trykte hjelpemidler, skrivesaker og kalkulator ~ h øgskolen i oslo Emne: Kybemetikk Emnekode: LO 358E Gruppe(r): Dato: \? 2E OYAo~~ Eksamensoppgav Antall sider (inkl. Antall oppgaver en består av: forsiden): 6 5 Faglig veileder: Veslemøy Tyssø Bjørn

Detaljer

Appendiks 7 Konstanter og variabler til kap. 6

Appendiks 7 Konstanter og variabler til kap. 6 APPENDIKS APPENDIKS 1 Appendiks 1.1 Appendiks 1.1.1 Appendiks 1.1.2 Appendiks 1.1.3 Appendiks 1.1.4 Appendiks 1.2 Appendiks 1.2.1 Appendiks 1.2.2 Appendiks 1.2.3 Appendiks 1.2.4 Appendiks 2 Appendiks 2.1

Detaljer

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE Emne: Informatikk II Emnekode: LO325E Faglig veileder: G.Milvang og H.Hemmer Gruppe(r): 2EA,2EB,2EC Dato:12.12.03 Eksamenstid:9 00-14 00 Eksamensoppgaven

Detaljer

EKSAMEN Løsningsforslag Emne: Fysikk og datateknikk

EKSAMEN Løsningsforslag Emne: Fysikk og datateknikk Emnekode: ITD006 EKSAMEN Løsningsforslag Emne: Fysikk og datateknikk Dato: 09. Mai 006 Eksamenstid: kl 9:00 til kl :00 Hjelpemidler: 4 sider (A4) ( ark) med egne notater. Kalkulator. Gruppebesvarelse,

Detaljer

;Hvis avsluttningskrets_a er brutt, hopp over neste kom. ;Kretsen er hel, hopp derfor til casea_ferdig

;Hvis avsluttningskrets_a er brutt, hopp over neste kom. ;Kretsen er hel, hopp derfor til casea_ferdig .Include"m16def.inc" LDI r16,0xff OUT spl,r16 ;setter stack RCALL Definisjoner RCALL Config Reset_knapp: RCALL Reset Start_knapp: RCALL Startknapp Lys: RCALL Trafikklys Test_hovedkrets: RCALL Hovedtest_A

Detaljer

Fagnr: SO318E. Veslemøy Tyssø Eksamenstid, I fra - til: Eksamensoppgaven består av Tillatte hjelpemidler: Antall oppgaver: 5

Fagnr: SO318E. Veslemøy Tyssø Eksamenstid, I fra - til: Eksamensoppgaven består av Tillatte hjelpemidler: Antall oppgaver: 5 Fag: Kybernetikk l Fagnr: SO318E Faglig veileder: Bjørn Engebretsen, Klasse(r): 2EY Dato: 5/6-02 Veslemøy Tyssø Eksamenstid, I fra - til: 0900-1400 Eksamensoppgaven består av Tillatte hjelpemidler: Antall

Detaljer

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE Emne: Gruppe(r): Eksamensoppgaven består av: Kybernetikk I E Antall sider (inkl. forsiden): 7 Emnekode: SO 8E Dato: 7. juni Antall oppgaver: Faglig veileder:

Detaljer

Fys 3270/4270 høsten Laboppgave 2: Grunnleggende VHDL programmering. Styring av testkortets IO enheter.

Fys 3270/4270 høsten Laboppgave 2: Grunnleggende VHDL programmering. Styring av testkortets IO enheter. Fys 3270/4270 høsten 2004 Laboppgave 2: Grunnleggende VHDL programmering. Styring av testkortets IO enheter. Innledning. Målet med denne laboppgaven er at dere skal lære å lage enkle hardware beskrivelser

Detaljer

OPPLÆRINGSREGION NORD. Skriftlig eksamen. DEL2001 Data- og elektronikksystemer. Høst 2013. Privatister. VG2 Data og Elektronikk

OPPLÆRINGSREGION NORD. Skriftlig eksamen. DEL2001 Data- og elektronikksystemer. Høst 2013. Privatister. VG2 Data og Elektronikk OPPLÆRINGSREGION NORD LK06 Finnmark fylkeskommune Troms fylkeskommune Nordland fylkeskommune Nord-Trøndelag fylkeskommune Sør-Trøndelag fylkeskommune Møre og Romsdal fylke Skriftlig eksamen DEL2001 Data-

Detaljer

Forelesning 9. Registre, tellere og minne

Forelesning 9. Registre, tellere og minne Forelesning 9 Registre, tellere og minne Registre Tri-state output Shift registre Tellere Binær rippelteller Synkronteller Hovedpunkter registre og tellere 2 Register N bits register - parallellkobling

Detaljer

Løsningsforslag INF1400 H04

Løsningsforslag INF1400 H04 Løsningsforslag INF1400 H04 Oppgave 1 Sannhetstabell og forenkling av Boolske uttrykk (vekt 18%) I figuren til høyre er det vist en sannhetstabell med 4 variable A, B, C og D. Finn et forenklet Boolsk

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO Eksamen i: UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet INF1400 Digital teknologi Eksamensdag: 29. november 2011 Tid for eksamen: Vedlegg: Tillatte hjelpemidler: Oppgavesettet er på

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO et matematisk-naturvitenskapelige fakultet Eksamen i: INF1400 igital teknologi Eksamensdag: 3. desember 2008 Tid for eksamen: 14:30 17:30 Oppgavesettet er på 5 sider Vedlegg: 1 Tillatte

Detaljer

OPPLÆRINGSREGION NORD. Skriftlig eksamen. DEL2002 Elektronisk infrastruktur VÅREN 2011. Privatister. Vg2 Data og elektronikk

OPPLÆRINGSREGION NORD. Skriftlig eksamen. DEL2002 Elektronisk infrastruktur VÅREN 2011. Privatister. Vg2 Data og elektronikk OPPLÆRINGSREGION NORD LK06 Finnmark fylkeskommune Troms fylkeskommune Nordland fylkeskommune Nord-Trøndelag fylkeskommune Sør-Trøndelag fylkeskommune Møre og Romsdal fylke Skriftlig eksamen DEL2002 Elektronisk

Detaljer

lfæ~~~~:::j~~:~l -.~=:~-t::-d I Alle trykte og håndskrevne EKSAMENSOPPGA VE Side l av 5 Eksamenstid:

lfæ~~~~:::j~~:~l -.~=:~-t::-d I Alle trykte og håndskrevne EKSAMENSOPPGA VE Side l av 5 Eksamenstid: EKSAMENSOPPGA VE Side l av 5 Bokmålstekst Emne: PROGRAMMERINGSSPRÅK i II Grupper: loa, ldb Emnekode LO 112 A Dato: 14.12.2005 Faglig veileder: Mark Burgess, Eva Vihovde, Frode Sandnes og Ulf uttersrud

Detaljer

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE AVDELING FOR INGENIØRUTDANNING ESAMENSOPPGAVE Emne: Gruppe(r): Eksamensoppgav en består av: ybernetikk I 2E Antall sider (inkl. forsiden): 5 Emnekode: SO 38E Dato: 5. juni 2004 Antall oppgaver: 6 Faglig

Detaljer

Hvorfor lære om maskinvare*?

Hvorfor lære om maskinvare*? Litt om maskinvare Hvorfor lære om maskinvare*? Hovedoppgaven til et OS er å styre maskinvare Må ha grunnleggende kjennskap til maskinvare for å forstå hvordan OS fungerer Skal bare se på grunnleggende

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO 1 UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i : IN 115 Eksamensdag : Lørdag 20 mai, 2000 Tid for eksamen : 09.00-15.00 Oppgavesettet er på : 5 sider Vedlegg : Intet. Tillatte

Detaljer

Prøveeksamen 2. Elektronikk 24. mars 2010

Prøveeksamen 2. Elektronikk 24. mars 2010 Prøveeksame 2 Elektroikk 24. mars 21 OPPGAVE 1 E 8 bit D/A-omformer har et utspeigsområde fra til 8 V V 1LSB, der V 1LSB er de aaloge speige som svarer til det mist sigifikate bit (LSB). a) Hvor stor er

Detaljer

Generell informasjon

Generell informasjon Introduksjon Oppgave Tittel Oppgavetype Generell informasjon Dokument 1.1 Kompendiet Langsvar Arkitektur Oppgave Tittel Oppgavetype 2.1 Pipeline Flervalg (flere svar) 2.2 Boolsk Algebra Flervalg (flere

Detaljer

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE

AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE AVDELING FOR INGENIØRUTDANNING EKSAMENSOPPGAVE Emne: Informatikk II Emnekode: LO334E Faglig veileder: Hilde Hemmer Gruppe(r): 2EA,2EB,2EC Dato:14.12.04 Eksamenstid: 9 00-12 00 Eksamensoppgaven består av:

Detaljer

Emnekode: SO135A Dato: onsdag 25. feb. I Antall oppgaver: 4

Emnekode: SO135A Dato: onsdag 25. feb. I Antall oppgaver: 4 ~ høgskolen i oslo Emne: Operativsvstemer ap Unix ~-Gruppe(r) data Eksamensoppgave n består av: Antall sider (inkl. Forsiden): 6 Emnekode: SO135A Dato: onsdag 25. feb I Antall oppgaver: 4 Faglig veileder:

Detaljer

Fjernstyringsenhet VRT012

Fjernstyringsenhet VRT012 Fjernstyringsenhet VRT012 Brukerveiledning V 0.1 Takk for at du kjøpte produktet vårt! Vi håper denne brukervennlige styreenheten kan hjelpe deg til å realisere dine ideer og gjøre livet enklere for brukeren.

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Målform: Eksamensdato: 18.12.2013 Varighet/eksamenstid: Emnekode: Emnenavn: Klasse(r): 3 timer TELE1001A 13H Ingeniørfaglig yrkesutøving og arbeidsmetoder

Detaljer

Datamaskinens oppbygning

Datamaskinens oppbygning Datamaskinens oppbygning Håkon Tolsby 18.09.2014 Håkon Tolsby 1 Innhold Hovedenheten Hovedkort Prosessor CISC og RISC 18.09.2014 Håkon Tolsby 2 Datamaskinens bestanddeler Hovedenhet Skjerm Tastatur Mus

Detaljer

OPPLÆRINGSREGION NORD. Skriftlig eksamen ELE 1001 DATA- OG ELEKTRONIKKSYSTEMER ELEKTROFAG VG1 VÅREN 2011. Privatister. VG1 Data- og elektronikksystem

OPPLÆRINGSREGION NORD. Skriftlig eksamen ELE 1001 DATA- OG ELEKTRONIKKSYSTEMER ELEKTROFAG VG1 VÅREN 2011. Privatister. VG1 Data- og elektronikksystem OPPLÆRINGSREGION NORD LK06 Finnmark fylkeskommune Troms fylkeskommune Nordland fylkeskommune Nord-Trøndelag fylkeskommune Sør-Trøndelag fylkeskommune Møre og Romsdal fylke Skriftlig eksamen ELE 1001 DATA-

Detaljer