Forslag til løsning på Eksamen FYS1210 våren 2004

Størrelse: px
Begynne med side:

Download "Forslag til løsning på Eksamen FYS1210 våren 2004"

Transkript

1 Oppgave Forslag til løsning på Eksamen FYS20 våren 2004 Figure Figur viser et enkelt nettverk bestående av 2 batterier ( V = 9volt og V2 = 2volt) og 3 motstander på kω. a) Hva er spenningen over motstanden R2? Bruker superposisjonsprinsippet. Ser på bidraget fra hver av spenningskildenev og V 2 - og summerer. Kortslutter først V. Bidraget fra V2 over R2 blir V R 2 V 2 = 2volt = 4volt (se fig b) + Figure a Figure b Ser så på bidraget fra V (som bidraget fra V2) V R 2 V = 9volt = 3volt + Summerer de to bidragene V = V + V = 3volt + 4volt volt R2 R2V R2V 2 = 7 b) Hvor stor er strømmen gjennom ledningen merket V2retur? Strømmene inn og ut av batteriet V2 må være like store. Dvs. strømmen gjennom V2retur må være like stor som strømmen gjennom motstanden R3. Strømmen gjennom denne motstanden finner vi ved ohms lov. Spenningsfallet over motstanden R3 : V R3 = 2v 7v = 5 volt Strømmen I = 5v/k = 5mA Oppgave 2 Figur 2 viser et filter. Figure

2 2a) Hva slags filter er dette høypass eller lavpass? Dette er et høypassfiltersammensatt av 2 høypass RC-filtere koplet i serie. For høye er kan vi betrakte kontensatorene som kortsluttet. Dvs. vi får ikke faseskift. Faseskift = 0 o 2c) Hva er knekken (f L ) i punkt A. f L A = =, 6 khz 7 3 2π R C 6, Tegn opp responsen i punkt A. Bruk logaritmepapiret. Marker tydelig knekken. (Frekvensområde Hz 0MHz) 20 db/dekade -60 db,6 khz 2d) Tegn opp responsen i punkt B. Frekvensområde Hz 0MHz. Bruk logaritmepapir. Anngi knekkene. f L B = = 60 khz 9 3 2π R C 6,28 0 0,6 khz -60 db 60kHz 40 db/dekade Oppgave 3 Figur 3 viser en enkel forsterker med en bipolar NPN transistor. Transistoren har en strømforsterkning β = 50. Batterispenningen V CC = 9 volt. Kollektorstrømmen I C = ma Basemotstanden R =,MΩ, kollektormotstanden R2 = 4kΩ, emittermotstanden R3 = kω 3a) Angi DC-spenningen på emitter, kollektor og base. Spenningen over kollektormotstanden R4: V R 4 = 4k ma = 4volt Kollektorspenningen V k blir : V = V 2 V 4 = 9v 4v = volt k R 5 Emitterspenningen VR3 = R3 I C = k ma = volt Basespenning emitterspenning + 0,7v =, 7volt 3b) Hvor stor er transistorens transkonduktans g m? (i Siemens) I C ma g m = = = 40mS V 25mV T Figur 3

3 3c) Tegn opp småsignalekvivalenten til forsterkeren i Figur 3. C r b c µ v inn R R 2 r π c π v π.g m R 2 v ut R 3 3d) Beskriv kort hva du forstår ved Miller-effekt. Hvordan påvirker denne responsen til en forsterker? Millereffekt (Se kompendiet om frekv.respons, kap.) Pga. forsterkningen av signalet fra basis til kollektor vil kapasiteten Cµ opptre forsterket på inngangen, - i parallell med Cπ. C = C + + g R C Dette medfører at forsterkeren får dårligere Som gir : m π ( m p ) µ respons. Klarer ikke stor forsterkning av høye er. 3e) Hva blir spenningsforsterkningen til kretsen for midlere er? Gi et forenklet resonnement. Vi har vist at forsterkningen er tilnærmet lik forholdet mellom kollektormotstand og emittermotstand A V =R3/R2=4k/k=4 3f). Vi setter inn en stor kondensator i parallell med emittermotstanden R3. Hva blir spenningsforsterkningen nå? A = g R3 = 40mS 4kΩ = 60 Oppgave 4 v m 4a) Tegn opp et diagram som viser sammenhengen mellom spenningen V D over zenerdioden og strømmen gjennom dioden I D. I diagrammet lar du aksen for diodespenningen V D dekke området 8 volt til + volt. Zenerspenningen er 5,6 volt. Hva er spesielt med en zenerdiode på 5,6 volt? Zenerdioden er beskrevet i boka. 2. (p.70) Det spesielle med en 5,6v zenerdiode er at den har tilnærmet ingen temperaturdrift. [V Z > 6 volt Avalanche (positiv tc.) V Z < 6 volt zenereffekt (negativ tc.)] 4b) Man arbeider stadig for å finne nye halvledermaterialer. (Siliciumcarbide og Galliumnitride er slike materialer, begge med band gap, E G > 3eV). Hva er det man ønsker å oppnå ved å bruke disse materialene? De nye halvledermaterialene med større band gap vil tåle høyere arbeidstemperatur enn silisium. Dvs vi kan pakke komponenter tettere sammen mindre krav til aktiv kjøling osv. 4c) Hva er spesielt med en tunneldiode? Gi en kort forklaring med henvisning til en tegning over strøm/spennings - karakteristikken. Tunneldiode har et område med negativ motstand (Området A-B). Slike tunneldioder kan bl.a. brukes til oscillatorer.. Iz A B Vz

4 4d) Figur 4 viser en zenerstabiliserende krets. V INN = 2 volt. R=2kΩ. Vi varierer R 2 fra 0 kω til 0 kω. Tegn opp et diagram som viser V UT som funksjon av R 2. (sett verdier på aksene) V INN = 2v GND R =2k V ut V Z = 4 v R 2 V UT 4 v Figur 4 R= kω R 2 4e) Hvor stor er strømmen gjennom zenerdioden i figur 4 når R = R 2 = kω og V INN = 2 volt? Når R = R 2 = kω vil zenerdioden lede. Dvs vi har 4 volt over motstanden R2. Det går derfor 4mA gjennom denne motstanden. Med 2 volt inn - må spenningen over R være 8 volt. (Summen av spenningene over R og R2 må være lik påtrykt spenning: 2volt). Med 8 volt over R må strømmen gjennom motstanden R være 8mA. 4mA går igjennom R2 da må strømmen gjennom zenerdioden være 4mA. ( summen av strømmene gjennom zenerdioden og motstand R2 må være lik strømmen gjennom R) Oppgave 5 5a) Du skal bygge en forsterker ved hjelp av en operasjonsforsterker. (ikkeinverterende) Kravene til forsterkeren er som følger: Forsterkning 20 db og ingen fasedreining ved 50 khz. Tegn opp forsterkeren og sett på aktuelle motstandsverdier. Tegn opp et diagram som viser forsterkning (db) som funksjon av. Bruk logaritmepapir. Du skal bestille en egnet operasjonsforsterker. Hvilke krav må du stille til Gain-Band-Width produkt (GBW) Forsterkningen til en ikkeinverterende forsterker er gitt R ved A = f + velger R = 9 kω og R 2 = kω R 2 Dette gir en forsterkning A f = 9 + = 0 ganger En forsterkning på 0 - omgjort til db : A f (db) = 20 log A f = 20 log 0 = 20 db

5 Oppgave 5a forts. forsterkning Ingen fasedreining ved 50 khz betyr 40dB at knekkpunktet (-3dB) må ligge en dekade høyere dvs. 500kHz. Vi vet at - 20dB responsen til operasjonsforsterkere faller med 20 db/dekade. Dvs. skal vi ha 20 db forsterkning med øvre grense/knekkpunkt ved 500kHz så må GBW produkt ligge en dekade høyere: 5MHZ 20dB/dekade 50kHz 500kH 5 MHz 5b) Det siste kravet til forsterkeren er at signalet ikke skal være forvrengt ved 50 khz selv med en signalspenning ut (Peak voltage) på 2 volt. Hvilke krav må du stille til slew rate? (Vis beregningen av slew rate ) 3 s v p 2π f = 2 6, v / s = 0,63v / µ s i praksis ser vi etter s volt / µ s 5c) Hvor stort er signalet på operasjonsforsterkerens inverterende inngang når en er 50kHz og signalet ut har en peak voltage på 2 volt? Dette spørsmålet krever omtanke!! Siden signalet på den ikkeinverterende inngangen må være (0 ganger) 20 db lavere enn 2 volt. Dvs. 200mV. Fra figuren over ser vi at råforsterkningen til operasjonsforsterkeren ved 50kHz er 40dB, dvs. 00 ganger. Med 2 volt ut betyr det at signaldifferansen på inngang må være 00 ganger (40dB) lavere dvs. 0.02volt = 20mV Med 200mV på ikkeinverterende inngang må signalspenningen på inverterende inngang være 20mV lavere. Dvs, 200mV 20mV = 80mV. Oppgave 6 6a) Master Slave flip-flop benyttes i mange digitale kretsløsninger. Tegn opp et blokkskjema som viser Master Slave prinsippet. Hvorfor bruker vi en slik kretsløsning? J 0 C K J C K Blokkskjema Master-slave flip-flop Se blokkskjema i boka side 323 figur 8-3 I løpet av en klokkepuls vil utgangen ikke skifte verdi men vil innstille seg til riktig verdi i henhold til J-K-logikk ved klokkepulsens avslutning (negativ flanke). Vi bruker en slik løsning bl.a. for å unngå race around conditions se boka kap b) Vi ser ofte digitale kretser med LS-teknologi (LS = Low power Schottky). Beskriv kort hvorfor vi bruker LS-teknologi og tegn inn hvordan Scottky-dioden er koplet til en bipolar NPN transistor.

6 LS-teknologi bruker mindre strøm og er raskere enn normale TTL-kretser. Scottky-dioden har en cut in -spenning på ca 0,3volt. Den er koplet mellom basen og kollektor til transistorene. Dioden begynner å lede hvis basen får en spenning på 0,3volt over kollektorspenningen. Derved hindres transistorens egen basis-kollektordiode i å bli forspent i lederetning. Transistoren forblir i sitt aktive område og den lar seg raskt skru av. Hvis basekollektordioden til transistoren hadde begynt å lede ville denne gått i metning (saturation) og det ville ta lang tid å gjenopprette sperresjiktet.

Forslag til løsning på eksamen FYS1210 høsten 2005

Forslag til løsning på eksamen FYS1210 høsten 2005 Forslag til løsning på eksamen FYS1210 høsten 2005 Oppgave 1 Figur 1 viser et nettverk tilkoplet basen på en bipolar transistor. (For 1a og 1b se læreboka side 199) 1 a ) Tegn opp Thevenin-ekvivalenten

Detaljer

Forslag til løsning på eksamen i FY Forslag til løsning på eksamen i F -IN 204 og FY108 våren 2003.

Forslag til løsning på eksamen i FY Forslag til løsning på eksamen i F -IN 204 og FY108 våren 2003. Forslag til løsning på eksamen i FY-IN 20 og FY108 våren 200. Oppgave 1 a) 20 db forsterkning er det samme som en forsterkning på 10ganger (A=Vut/Vinn = 10). Kretsen skal ha en inngangsmotstand på 20kΩ

Detaljer

FYS Forslag til løsning på eksamen våren 2014

FYS Forslag til løsning på eksamen våren 2014 FYS1210 - Forslag til løsning på eksamen våren 2014 Oppgave 1 Figure 1. viser en forsterker sammensatt av 2 operasjonsforsterkere. Operasjonsforsterkeren 741 har et Gain Band Width produkt GBW = 1MHz.

Detaljer

Figur 1 viser et nettverk med et batteri på 18 volt, 2 silisiumdioder og 4 motstander.

Figur 1 viser et nettverk med et batteri på 18 volt, 2 silisiumdioder og 4 motstander. Forslag til løsning på eksamen i FYS 20 våren 2006 (rev 4) Oppgave. Figur Figur viser et nettverk med et batteri på 8 volt, 2 silisiumdioder og 4 motstander. a) Hva er spenningen i punktene AA og BB målt

Detaljer

UNIVERSITETET I OSLO.

UNIVERSITETET I OSLO. UNIVERSITETET I OSLO. Det matematisk - naturvitenskapelige fakultet. Eksamen i : FY-IN 204 Eksamensdag : 18 juni 2002 Tid for eksamen : l.0900-1500 Oppgavesettet er på 5 sider. Vedlegg Tillatte hjelpemidler

Detaljer

Forslag B til løsning på eksamen FYS august 2004

Forslag B til løsning på eksamen FYS august 2004 Forslag B til løsning på eksamen FYS20 3 august 2004 Oppgave (Sweeper frekvensområdet 00Hz til 0MHz Figur viser et båndpassfilter. Motstandene R og R2 har verdi 2kΩ. Kondensatorene C = 00nF og C2 = 0.nF.

Detaljer

Forslag til løsning på Eksamen FYS1210 våren 2008

Forslag til løsning på Eksamen FYS1210 våren 2008 Oppgave 1 Forslag til løsning på Eksamen FYS1210 våren 2008 1a) Hvor stor er strømmen gjennom? 12 ma 1b) Hvor stor er strømmen gjennom? 6 ma 1c) Hva er spenningen i punktene AA og BB målt i forhold til

Detaljer

Forslag til løsning på eksamen FYS1210 våren Oppgave 1

Forslag til løsning på eksamen FYS1210 våren Oppgave 1 Forslag til løsning på eksamen FYS1210 våren 201 Oppgave 1 Nettverksanalyse. Legg spesielt merke til diodenes plassering. Figur 1 viser et nettverk bestående av en NPN silisium transistor Q1 ( β = 200

Detaljer

UNIVERSITETET I OSLO.

UNIVERSITETET I OSLO. UNIVERSITETET I OSLO. Det matematisk - naturvitenskapelige fakultet. Eksamen i : FY-IN 204 / FY108 Eksamensdag : 16 juni 2003 Tid for eksamen : Kl.0900-1500 Oppgavesettet er på 5 sider. Vedlegg : Logaritmepapir

Detaljer

Forslag til løsning på eksame n FY-IN 204 våren 2002

Forslag til løsning på eksame n FY-IN 204 våren 2002 Forslag til løsning på eksame n FY-N 04 våren 00 Spenningsforsterkningen er tilnærmet gitt av motstandene og. Motstanden har ingen innflytelse på forsterkningen. For midlere frekvenser ser vi bort fra

Detaljer

Figur 1. 1e) Uten tilkopling på inngangene A og B - Hva er spenningen på katoden til dioden D1? 1,4 volt

Figur 1. 1e) Uten tilkopling på inngangene A og B - Hva er spenningen på katoden til dioden D1? 1,4 volt Forslag til løsning på eksamen FYS1210 våren 2013 Oppgave 1 Nettverksanalyse. Legg spesielt merke til diodenes plassering. Figur 1 viser et nettverk bestående av en NPN silisium transistor Q1 ( β = 200

Detaljer

g m = I C / V T g m = 1,5 ma / 25 mv = 60 ms ( r π = β / g m = 2k5 )

g m = I C / V T g m = 1,5 ma / 25 mv = 60 ms ( r π = β / g m = 2k5 ) Forslag til løsning på eksamensoppgavene i FYS0 vår 0 8.6 Oppgave Figure viser en enkel transistorforsterker med en NPNtransistor N Transistoren har en oppgitt strømforsterkning β = 50. Kondensatoren C

Detaljer

g m = I C / V T g m = 1,5 ma / 25 mv = 60 ms ( r π = β / g m = 3k3 )

g m = I C / V T g m = 1,5 ma / 25 mv = 60 ms ( r π = β / g m = 3k3 ) Forslag til løsning på eksamensoppgavene i FYS1210 våren 2011 Oppgave 1 Figure 1 viser en enkel transistorforsterker med en NPN-transistor BC546A. Transistoren har en oppgitt strømforsterkning β = 200.

Detaljer

g m = I C / V T = 60 ms r π = β / g m = 3k3

g m = I C / V T = 60 ms r π = β / g m = 3k3 Forslag til løsning eksamen FYS20 vår 20 Oppgave Figure viser en enkel transistorforsterker med en NPN-transistor BC546A. Transistoren har en oppgitt strømforsterkning β = 200. Kondensatoren C har verdien

Detaljer

Forslag til løsning på eksamen i FYS1210 våren 2005 side 1. Fig.1 viser et nettverk med to 9 volt batterier og 4 motstander, - alle på 1kΩ.

Forslag til løsning på eksamen i FYS1210 våren 2005 side 1. Fig.1 viser et nettverk med to 9 volt batterier og 4 motstander, - alle på 1kΩ. Forslag til løsning på eksamen i FYS20 våren 2005 side Eksamen har totalt 22 spørsmål Oppgave Fig. viser et nettverk med to 9 volt atterier og 4 motstander, - alle på kω. a ) Hva lir spenningen over motstand

Detaljer

Forslag til løsning på eksamen FYS1210 våren 2010

Forslag til løsning på eksamen FYS1210 våren 2010 Forslag til løsning på eksamen FYS1210 våren 2010 Oppgave 1 n seriekopling av solceller forsyner ubest med elektrisk energi. Ubelastet måler vi en spenning på 5 volt over solcellene (Vi måler mellom og

Detaljer

UNIVERSITETET I OSLO.

UNIVERSITETET I OSLO. UNIVERSITETET I OSLO. Det matematisk - naturvitenskapelige fakultet. Eksamen i : Eksamens dag : Tid for eksamen : Oppgavesettet er på 6 sider Vedlegg : Tillatte hjelpemidler : FYS1210-Elektronikk med prosjektoppgaver

Detaljer

Løsningsforslag til eksamen FY108 høsten 2003

Løsningsforslag til eksamen FY108 høsten 2003 Løsningsforslag til eksamen FY08 høsten 003 Figur viser et båndpassfilter. Motstandene R og R har verdi kω. Kondensatorene C = µf og C = 0,nF. Signalkilden leverer et AC-signal med spissverdi (peakvalue)

Detaljer

UNIVERSITETET I OSLO.

UNIVERSITETET I OSLO. UNIVERSITETET I OSLO. Det matematisk - naturvitenskapelige fakultet. Eksamen i : FYS1210 - Elektronikk med prosjektoppgaver Eksamensdag : 1. juni 2007 Tid for eksamen : Kl. 14:30 17:30 (3 timer) Oppgavesettet

Detaljer

Forslag til løsning på eksamen FYS1210 V-2007 ( rev.2 )

Forslag til løsning på eksamen FYS1210 V-2007 ( rev.2 ) Forslag til løsning på eksamen FYS20 V-2007 ( rev.2 ) Oppgave Figur a viser et nettverk med et atteri på 24 volt og 4 motstander. R = 3kΩ, R2 =,5 kω, R3 = 9 kω, R4 = 3 kω a) Hva er spenningen i punktene

Detaljer

UNIVERSITETET I OSLO.

UNIVERSITETET I OSLO. UNIVERSITETET I OSLO. Det matematisk - naturvitenskapelige fakultet. Eksamen i : Eksamens dag : Tid for eksamen : Oppgavesettet er på 6 sider Vedlegg : Tillatte hjelpemidler : FYS1210-Elektronikk med prosjektoppgaver

Detaljer

TRANSISTORER Transistor forsterker

TRANSISTORER Transistor forsterker Kurs: FYS1210 Elektronikk med prosjektoppgaver Gruppe: Gruppe-dag: Oppgave: LABORAORIEØELSE NR 4 Omhandler: RANSISORER ransistor forsterker 27. februar 2012. Lindem Utført dato: Utført av: Navn: email:

Detaljer

UNIVERSITETET I OSLO.

UNIVERSITETET I OSLO. UNIVERSITETET I OSLO. Det matematisk - naturvitenskapelige fakultet. Eksamen i : FYS1210 - Elektronikk med prosjektoppgaver Eksamensdag : 6. juni 2012 Tid for eksamen : 09:00 (3 timer) Oppgavesettet er

Detaljer

UNIVERSITETET I OSLO.

UNIVERSITETET I OSLO. UNIVERSITETET I OSLO. Det matematisk - naturitenskapelige fakultet. Eksamen i : FY-IN 204 Eksamensdag : 12 juni 1999, Tid for eksamen : Kl.0900-1500 Oppgaesettet er på 5 sider. Vedlegg Tillatte hjelpemidler

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk - naturvitenskapelige fakultet Eksamen i : FYS1210 - Elektronikk med prosjektoppgaver Eksamensdag : Tirsdag 2. juni 2015 Tid for eksamen : 09:00 12:00 (3 timer) Oppgavesettet

Detaljer

UNIVERSITETET I OSLO.

UNIVERSITETET I OSLO. UNIVERSITETET I OSLO. Det matematisk - naturvitenskapelige fakultet. Eksamen i : FYS1210 - Elektronikk med prosjektoppgaver Eksamensdag : 1. juni 2011 Tid for eksamen : 09:00 (3 timer) Oppgavesettet er

Detaljer

FYS1210 Løsningsforslag Eksamen V2018

FYS1210 Løsningsforslag Eksamen V2018 FYS1210 Løsningsforslag Eksamen V2018 Morgan Kjølerbakken Oppgave 1 Kondensatorer og filtre (totalt 5 poeng) 1 a. Beskrivelse av hvordan kondensatoren lades opp er gitt av differensial likningen V = 1

Detaljer

FYS1210 Løsningsforslag Eksamen V2017

FYS1210 Løsningsforslag Eksamen V2017 FYS1210 Løsningsforslag Eksamen V2017 Oppgave 1 1 a. Doping er en prosess hvor vi forurenser rent (intrinsic) halvleder material ved å tilsette trivalente (grunnstoff med 3 elektroner i valensbåndet) og

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk - naturvitenskapelige fakultet Eksamen i : FYS1210 - Elektronikk med prosjektoppgaver Eksamensdag : Tirsdag 7. juni 2016 Tid for eksamen : 09:00 12:00 (3 timer) Oppgavesettet

Detaljer

TRANSISTORER Transistor forsterker

TRANSISTORER Transistor forsterker Kurs: FYS1210 Elektronikk med prosjektoppgaver Gruppe: Gruppe-dag: Oppgave: LABORAORIEØVELSE NR 4 Omhandler: RANSISORER ransistor forsterker Revidert utgave, desember 2014 (. Lindem, M.Elvegård, K.Ø. Spildrejorde)

Detaljer

FYS1210. Repetisjon 2 11/05/2015. Bipolar Junction Transistor (BJT)

FYS1210. Repetisjon 2 11/05/2015. Bipolar Junction Transistor (BJT) FYS1210 Repetisjon 2 11/05/2015 Bipolar Junction Transistor (BJT) Sentralt: Forsterkning Forsterkning er et forhold mellom inngang og utgang. 1. Spenningsforsterkning: 2. Strømforsterkning: 3. Effektforsterkning

Detaljer

UNIVERSITETET I OSLO.

UNIVERSITETET I OSLO. UNIVERSITETET I OSLO. Det matematisk - naturvitenskapelige fakultet. Eksamen i : FY-IN 204 Eksamensdag : 2 september 1998 (utsatt grunnet streik V-98) Tid for eksamen : l.0900-1500 Oppgavesettet er på

Detaljer

FYS1210 Løsningsforslag. Eksamen V2015

FYS1210 Løsningsforslag. Eksamen V2015 FYS1210 Løsningsforslag Eksamen V2015 Oppgave 1 1a) I første del av oppgaven skal vi se bort fra lasten, altså RL = 0. Vi velger arbeidspunkt til å være 6 Volt, altså halvparten av forskyningsspenningen.

Detaljer

Oppsummering. BJT - forsterkere og operasjonsforsterkere

Oppsummering. BJT - forsterkere og operasjonsforsterkere Oppsummering BJT - forsterkere og operasjonsforsterkere OP-AMP vs BJT Fordeler og ulemper Vi har sett på to ulike måter å forsterke opp et signal, ved hjelp av transistor forsterkere og operasjonsforsterkere,

Detaljer

Forslag til løsning på Eksamen FYS1210 våren 2009

Forslag til løsning på Eksamen FYS1210 våren 2009 Forslag til løsning på Eksamen FYS1210 våren 2009 Oppgave 1 Figure 1 viser DC forspenning av en BJT-transistor - 2N2222. Denne transistoren har en strømforsterkning β = 200. R1 = 62 kω, R2 = 9 kω, R3=1

Detaljer

Løsningsforslag Elektronikk 1 (LO342E) høst 2006 eksamen 1. desember, 3timer

Løsningsforslag Elektronikk 1 (LO342E) høst 2006 eksamen 1. desember, 3timer Løsningsforslag Elektronikk 1 (LO342E) høst 2006 eksamen 1. desember, 3timer (Bare kalkulator og tabell tillatt.) Oppgave 1 Vi regner med n = 1,3 i EbersMoll likninga, U BEQ = 0,7V, og strømforsterkning

Detaljer

Eksamen i Elektronikk 24. Mai Løsningsforslag Knut Harald Nygaard

Eksamen i Elektronikk 24. Mai Løsningsforslag Knut Harald Nygaard Eksamen i Elektronikk 24. Mai 2017 Løsningsforslag Knut Harald Nygaard Oppgave 1 Operasjonsforsterkeren i kretsløpet i figuren nedenfor kan regnes som ideell. v inn R C v ut a) Overføringsfunksjonen er

Detaljer

Fasit til Eksamen FY-IN 204 våren (avholdt høsten) 1998.

Fasit til Eksamen FY-IN 204 våren (avholdt høsten) 1998. Fasit til ksamen FY-IN 4 åren (aholdt høsten) 1998. Oppgae 1 a) a. V 1,7 olt (asis - emitter spenningen (V ) til en Si-transistor som leder,7olt) b. V,5 -,7 1,8 olt c. Spenningen oer to stk A1,7 * 1,4

Detaljer

FYS1210 Løsningsforslag Eksamen V2015

FYS1210 Løsningsforslag Eksamen V2015 FYS1210 Løsningsforslag Eksamen V2015 K. Spildrejorde, M. Elvegård Juni 2015 1 Oppgave 1: Frekvensfilter Frekvensfilteret har følgende verdier: 1A C1 = 1nF C2 = 100nF R1 = 10kΩ R2 = 10kΩ Filteret er et

Detaljer

Prøveeksamen 1. Elektronikk 8.feb. 2010. Løsningsforslag

Prøveeksamen 1. Elektronikk 8.feb. 2010. Løsningsforslag Prøveeksamen 1 Elektronikk 8.feb. 2010 Løsningsforslag OPPGAVE 1 a) I koplingen til venstre ovenfor er u I et sinusformet signal med moderat frekvens og effektivverdi på 6,3V. Kretsen er en negativ toppverdikrets,

Detaljer

Introduksjon til oppgave 1: Transistorkarakteristikken til BC-547

Introduksjon til oppgave 1: Transistorkarakteristikken til BC-547 LAB 5: Transistorer Mål Registrere transistorkarakteristikker, fortsette treningen i bruk av kretssimulator og måle på en forsterker. Om oppgavene Oppgave 1 Måle kollektorstrømmen I C som funksjon av spenningen

Detaljer

«OPERASJONSFORSTERKERE»

«OPERASJONSFORSTERKERE» Kurs: FYS 1210 Gruppe: Gruppe-dag: Oppgave: LABORATORIEØVELSE NR 7 Revidert utgave 18. mars 2013 (Lindem) Omhandler: «OPERASJONSFORSTERKERE» FORSTERKER MED TILBAKEKOBLING AVVIKSPENNING OG HVILESTRØM STRØM-TIL-SPENNING

Detaljer

UNIVERSITETET I OSLO.

UNIVERSITETET I OSLO. UNIVESITETET I OSLO. Det matematisk - naturvitenskapelige fakultet. Eksamen i : FYS204 Eksamensdag : 11 juni 1996. Tid for eksamen : Kl.0900-1500 Oppgavesettet er på 5 sider. Vedlegg : 4 stk. logaritmepapir

Detaljer

LAB 7: Operasjonsforsterkere

LAB 7: Operasjonsforsterkere LAB 7: Operasjonsforsterkere I denne oppgaven er målet at dere skal bli kjent med praktisk bruk av operasjonsforsterkere. Dette gjøres gjennom oppgaver knyttet til operasjonsforsterkeren LM358. Dere skal

Detaljer

Prøveeksamen 2. Elektronikk 24. mars Løsningsforslag

Prøveeksamen 2. Elektronikk 24. mars Løsningsforslag Prøveeksamen Elektronikk 4. mars øsningsforslag OPPGAVE a) V SB 8 V/ 8 8 V/56 3,5 mv. b) xc 9 Utgangsspenning V o (9/56) 8 V 6 V. c) Utgangsspenning V o skal være lik for påtrykk x. Offset-feilen i SB

Detaljer

Ny/Utsatt eksamen i Elektronikk 2. August Løsningsforslag Knut Harald Nygaard

Ny/Utsatt eksamen i Elektronikk 2. August Løsningsforslag Knut Harald Nygaard Ny/Utsatt eksamen i Elektronikk 2. August 2017 Løsningsforslag Knut Harald Nygaard Oppgave 1 Operasjonsforsterkeren i kretsløpet i figuren nedenfor kan regnes som ideell. v inn v ut C a) Overføringsfunksjonen

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN SØR-TRØNDELAG Avdeling for teknologi Kandidatnr: Eksamensdato: 0.1.009 Varighet/eksamenstid: Emnekode: 5 timer EDT10T Emnenavn: Elektronikk 1 Klasse(r): EL Studiepoeng: 7,5 Faglærer(e): ngrid

Detaljer

EKSAMEN Løsningsforslag Emne: Fysikk og datateknikk

EKSAMEN Løsningsforslag Emne: Fysikk og datateknikk Emnekode: ITD006 EKSAMEN Løsningsforslag Emne: Fysikk og datateknikk Dato: 09. Mai 006 Eksamenstid: kl 9:00 til kl :00 Hjelpemidler: 4 sider (A4) ( ark) med egne notater. Kalkulator. Gruppebesvarelse,

Detaljer

VEILEDNING TIL LABORATORIEØVELSE NR 2

VEILEDNING TIL LABORATORIEØVELSE NR 2 VEILEDNING TIL LABORATORIEØVELSE NR 2 «TRANSISTORER» FY-IN 204 Revidert utgave 2000-03-01 Veiledning FY-IN 204 : Oppgave 2 1 2. Transistoren Litteratur: Millman, Kap. 3 og Kap. 10 Oppgave: A. TRANSISTORKARAKTERISTIKKER:

Detaljer

Lab 7 Operasjonsforsterkere

Lab 7 Operasjonsforsterkere Universitetet i Oslo FYS1210 Elektronikk med prosjektoppgave Lab 7 Operasjonsforsterkere Sindre Rannem Bilden 13. april 2016 Labdag: Tirsdag Labgruppe: 3 Oppgave 1: Forsterker med tilbakekobling I en operasjonsforsterker

Detaljer

«OPERASJONSFORSTERKERE»

«OPERASJONSFORSTERKERE» Kurs: FYS 1210 Gruppe: Gruppe-dag: Oppgave: LABORATORIEØVELSE NR 7 Revidert utgave, desember 2014 (T. Lindem, K.Ø. Spildrejorde, M. Elvegård) Omhandler: «OPERASJONSFORSTERKERE» FORSTERKER MED TILBAKEKOBLING

Detaljer

HØGSKOLEN I SØR-TRØNDELAG INGRID KVAKLAND AVD. FOR TEKNOLOGI INSTITUTT FOR ELEKTRO OG DATATEKNIKK 7005 TRONDHEIM

HØGSKOLEN I SØR-TRØNDELAG INGRID KVAKLAND AVD. FOR TEKNOLOGI INSTITUTT FOR ELEKTRO OG DATATEKNIKK 7005 TRONDHEIM HØGSOLN SØ-TØNDLAG NGD VALAND AVD. FO TNOLOG NSTTTT FO LTO OG DATATN 7005 TONDHM lektronikk Løsningsforslag øving7 Oppgave 1 a) etingelsen for at en transistor er forspent i det aktive området er at pn-overgangen

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Kandidatnr: Eksamensdato: 14.12.2010 Varighet/eksamenstid: Emnekode: 4 timer EDT210T-A Emnenavn: Elektronikk 1 Klasse(r): 2EL Studiepoeng: 7,5 Faglærer(e):

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Kandidatnr: Eksamensdato: 10.desember 2013 Varighet/eksamenstid: 5 timer Emnekode: TELE 2002 Emnenavn: Elektronikk Klasse(r): Studiepoeng: 10 Faglærer(e):

Detaljer

Fakultet for teknologi, kunst og design Teknologiske fag

Fakultet for teknologi, kunst og design Teknologiske fag Fakultet for teknologi, kunst og design Teknologiske fag Eksamen i: Elektronikk Målform: Bokmål Dato: 24. mai 2017 Tid: 3 timer/0900-1200 Antall sider (inkl. forside): 5 (inkludert Vedlegg 1 side) Antall

Detaljer

Forelesning nr.12 INF 1411 Elektroniske systemer. Opamp-kretser Oscillatorer og aktive filtre

Forelesning nr.12 INF 1411 Elektroniske systemer. Opamp-kretser Oscillatorer og aktive filtre Forelesning nr.12 INF 1411 Elektroniske systemer Opamp-kretser Oscillatorer og aktive filtre Dagens temaer Komparatorer, addisjon- og subtraksjonskretser Integrasjon og derivasjon med opamp-kretser Oscillator

Detaljer

Forelesning nr.11 INF 1411 Elektroniske systemer

Forelesning nr.11 INF 1411 Elektroniske systemer Forelesning nr.11 INF 1411 Elektroniske systemer Operasjonsforsterkere 1 Dagens temaer Ideel operasjonsforsterker Operasjonsforsterker-karakteristikker Differensiell forsterker Opamp-kretser Dagens temaer

Detaljer

Oppsummering FYS 1210

Oppsummering FYS 1210 Oppsummering FYS 1210 Hjelpemidler til eksamen Lærebok Electronics Technology Fundamentals Robert Paynter & B.J.Toby Boydell 2 Kretsteknikk Ohms lov eller (Ohm) Kirchhoff s lov om strømmer Summen av strømmene

Detaljer

TRANSISTORER. Navn: Navn: Kurs: FY-IN204 Elektronikk med prosjektoppgaver - 4 vekttall. Oppgave: LABORATORIEØVELSE NR 2.

TRANSISTORER. Navn:   Navn:   Kurs: FY-IN204 Elektronikk med prosjektoppgaver - 4 vekttall. Oppgave: LABORATORIEØVELSE NR 2. Kurs: FY-IN204 Elektronikk med prosjektoppgaver - 4 vekttall Gruppe: Gruppe-dag: Oppgave: LABORATORIEØVELSE NR 2 Omhandler: TRANSISTORER Revidert utgave 23.02.2001 Utført dato: Utført av: Navn: email:

Detaljer

Oppsummering FYS

Oppsummering FYS Oppsummering FYS 1210 2017 Hjelpemidler til eksamen I år vil det ikke være mulig å bruke læreboken. Nødvendig formler blir lagt ved oppgavesettet. Du finner en foreløpig utgave av formelarket på hjemmesiden

Detaljer

Fakultet for teknologi, kunst og design Teknologiske fag

Fakultet for teknologi, kunst og design Teknologiske fag Fakultet for teknologi, kunst og design Teknologiske fag Ny og utsatt eksamen i: Elektronikk Målform: Bokmål Dato: 1. august 01 Tid: 0900-100 Antall sider (inkl. forside): 5 (inkludert Vedlegg 1 side)

Detaljer

Kapittel 18 Grunnleggende diodekoplinger. Likeretter (Rectifier) omforme AC til DC

Kapittel 18 Grunnleggende diodekoplinger. Likeretter (Rectifier) omforme AC til DC Revidert versjon 1. feb. 2012 T.Lindem Kapittel 18 Grunnleggende diodekoplinger Likeretter (Rectifier) omforme A til D Rectifier (Likeretter) en diodekrets som omformer en A til pulserende D Filter en

Detaljer

Fakultet for teknologi, kunst og design Teknologiske fag

Fakultet for teknologi, kunst og design Teknologiske fag Fakultet for teknologi, kunst og design Teknologiske fag Ny/utsatt eksamen i: Elektronikk Målform: Bokmål Dato: 2. august 2016 Tid: 0900-1200 Antall sider (inkl. forside): 6 (inkludert Vedlegg 1 side)

Detaljer

Fasit og sensorveiledning eksamen INF1411 våren Oppgave 1 Strøm, spenning, kapasitans og resistans (Vekt 20 %) A) B) Figur 1

Fasit og sensorveiledning eksamen INF1411 våren Oppgave 1 Strøm, spenning, kapasitans og resistans (Vekt 20 %) A) B) Figur 1 Fasit og sensorveiledning eksamen INF1411 våren 2012 Oppgave 1 Strøm, spenning, kapasitans og resistans (Vekt 20 %) Oppgave 1a) (vekt 5 %) Hva er strømmen i og spenningen V out i krets A) i Figur 1? Svar

Detaljer

TRANSISTORER. Navn: Navn: Kurs: FY-IN204 Elektronikk med prosjektoppgaver - 4 vekttall. Oppgave: LABORATORIEØVELSE NR 2.

TRANSISTORER. Navn:   Navn:   Kurs: FY-IN204 Elektronikk med prosjektoppgaver - 4 vekttall. Oppgave: LABORATORIEØVELSE NR 2. Kurs: FY-IN204 Elektronikk med prosjektoppgaver - 4 vekttall Gruppe: Gruppe-dag: Oppgave: LABORATORIEØVELSE NR 2 Omhandler: TRANSISTORER Revidert utgave 23.02.2001, 20.02.2003 av HBalk Utført dato: Utført

Detaljer

Transistorforsterker

Transistorforsterker Oppsummering Spenningsforsterker klasse Med avkoplet emitter og uten Forsterkeren inverterer signalet faseskift 180o Transistoren er aktiv i hele signalperioden i b B i c C g m I V C T i c v i r π B1 B2

Detaljer

Fakultet for teknologi, kunst og design Teknologiske fag

Fakultet for teknologi, kunst og design Teknologiske fag Fakultet for teknologi, kunst og design Teknologiske fag Ny/utsatt eksamen i: Elektronikk Målform: Bokmål Dato: 2. august 2017 Tid: 3 timer/0900-1200 Antall sider (inkl. forside): 5 (inkludert Vedlegg

Detaljer

UKE 5. Kondensatorer, kap. 12, s RC kretser, kap. 13, s Frekvensfilter, kap. 15, s kap. 16, s

UKE 5. Kondensatorer, kap. 12, s RC kretser, kap. 13, s Frekvensfilter, kap. 15, s kap. 16, s UKE 5 Kondensatorer, kap. 2, s. 364-382 R kretser, kap. 3, s. 389-43 Frekvensfilter, kap. 5, s. 462-500 kap. 6, s. 50-528 Kondensator Lindem 22. jan. 202 Kondensator (apacitor) er en komponent som kan

Detaljer

Fasit og sensorveiledning eksamen INF1411 våren Oppgave 1 Strøm, spenning, kapasitans og resistans (Vekt 20 %) A) B) Figur 1

Fasit og sensorveiledning eksamen INF1411 våren Oppgave 1 Strøm, spenning, kapasitans og resistans (Vekt 20 %) A) B) Figur 1 Fasit og sensorveiledning eksamen INF1411 våren 2012 Oppgave 1 Strøm, spenning, kapasitans og resistans (Vekt 20 %) Oppgave 1a) (vekt 5 %) Hva er strømmen i og spenningen V out i krets A) i Figur 1? Svar

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1411 Introduksjon til elektroniske systemer Eksamensdag: 28. mai 2014 Tid for eksamen: 4 timer Oppgavesettet er på 6 sider

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1411 Elektroniske systemer Eksamensdag: 4. juni 2012 Tid for eksamen: 14:30 18:30 Oppgavesettet er på 5 sider Vedlegg: Ingen

Detaljer

Fakultet for teknologi, kunst og design Teknologiske fag

Fakultet for teknologi, kunst og design Teknologiske fag Fakultet for teknologi, kunst og design Teknologiske fag Ny og utsatt eksamen i: Elektronikk Målform: Bokmål Dato: 7. august 2013 Tid: 0900-1200 Antall sider (inkl. forside): 5 (inkludert Vedlegg 1 side)

Detaljer

Eksamensoppgaver i Elektronikk 1 - LO350E.

Eksamensoppgaver i Elektronikk 1 - LO350E. Eksamensoppgaver i Elektronikk 1 - LO350E. 96h, 97h, 98h 99h, 99utsatt 00h, 00utsatt 01h, 01utsatt 02h, 02utsatt 03h, 03utsatt 04h, 04utsatt 05h, 05utsatt 06h, 06utsatt Rolf Ingebrigtsen Elnikk1/EksSaml/22.11.2007

Detaljer

UKE 5. Kondensatorer, kap. 12, s RC kretser, kap. 13, s Frekvensfilter, kap. 15, s og kap. 16, s.

UKE 5. Kondensatorer, kap. 12, s RC kretser, kap. 13, s Frekvensfilter, kap. 15, s og kap. 16, s. UKE 5 Kondensatorer, kap. 12, s. 364-382 R kretser, kap. 13, s. 389-413 Frekvensfilter, kap. 15, s. 462-500 og kap. 16, s. 510-528 1 Kondensator Lindem 22. jan. 2012 Kondensator (apacitor) er en komponent

Detaljer

Transistorkretser Laboratorieeksperimenter realfagseminar Sjøkrigsskolen 15. November 2010

Transistorkretser Laboratorieeksperimenter realfagseminar Sjøkrigsskolen 15. November 2010 Transistorkretser Laboratorieeksperimenter realfagseminar Sjøkrigsskolen 15. November 2010 1. Referanser http://wild-bohemian.com/electronics/flasher.html http://www.creative-science.org.uk/transistor.html

Detaljer

Rev. Lindem 25.feb..2014

Rev. Lindem 25.feb..2014 ev. Lindem 25.feb..2014 Transistorforsterkere - oppsummering Spenningsforsterker klasse Med avkoplet emitter og uten Forsterkeren inverterer signalet faseskift 180 o Transistoren er aktiv i hele signalperioden

Detaljer

Contents. Oppgavesamling tilbakekobling og stabilitet. 01 Innledende oppgave om ABC tilbakekobling. 02 Innledende oppgave om Nyquist diagram

Contents. Oppgavesamling tilbakekobling og stabilitet. 01 Innledende oppgave om ABC tilbakekobling. 02 Innledende oppgave om Nyquist diagram Contents Oppgavesamling tilbakekobling og stabilitet... Innledende oppgave om ABC tilbakekobling... Innledende oppgave om Nyquist diagram... 3 Bodeplott og stabilitet (H94 5)... 4 Bodediagram og stabilitet

Detaljer

Kondensator. Symbol. Lindem 22. jan. 2012

Kondensator. Symbol. Lindem 22. jan. 2012 UKE 5 Kondensatorer, kap. 12, s. 364-382 RC kretser, kap. 13, s. 389-413 Frekvensfilter, kap. 15, s. 462-500 og kap. 16, s. 510-528 Spoler, kap. 10, s. 289-304 1 Kondensator Lindem 22. jan. 2012 Kondensator

Detaljer

= 10 log{ } = 23 db. Lydtrykket avtar prop. med kvadratet av avstanden, dvs. endring ved øking fra 1 m til 16 m

= 10 log{ } = 23 db. Lydtrykket avtar prop. med kvadratet av avstanden, dvs. endring ved øking fra 1 m til 16 m Løsning eks.2012 Oppgave 1 a) 3) 28 V rms b) 2) 2V c) 2) 95 db. Beregning av SPL i 16 m avstand ved P o = 200 W når 1 W gir 96 db i 1 m avstand: Økning i db SPL når tilført effekt til høyttaleren økes

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Kandidatnr: Eksamensdato: 13.12.2011 Varighet/eksamenstid: Emnekode: Emnenavn: Klasse(r): 4 timer EDT210T-A Grunnleggende elektronikk 2EL Studiepoeng: 7,5

Detaljer

PH-03. En MM Phono Forsterker

PH-03. En MM Phono Forsterker PH-03 En MM Phono Forsterker Det finnes flere varianter av designet kalt Le Pacific (av Walter). Det er vist et utdrag på slutten av denne beskrivelsen. Designet som følger er min utgave av Le Pacific.

Detaljer

LABORATORIEØVELSE B FYS LINEÆR KRETSELEKTRONIKK 1. LAPLACE TRANSFORMASJON 2. AC-RESPONS OG BODEPLOT 3. WIENBROFILTER

LABORATORIEØVELSE B FYS LINEÆR KRETSELEKTRONIKK 1. LAPLACE TRANSFORMASJON 2. AC-RESPONS OG BODEPLOT 3. WIENBROFILTER FYS322 - LINEÆR KRETSELEKTRONIKK LABORATORIEØVELSE B. LAPLACE TRANSFORMASJON 2. AC-RESPONS OG BODEPLOT 3. WIENBROFILTER Maris Tali(maristal) maristal@student.matnat. uio.no Eino Juhani Oltedal(einojo)

Detaljer

Forelesning nr.11 INF 1411 Elektroniske systemer. Måleteknikk Operasjonsforsterkere

Forelesning nr.11 INF 1411 Elektroniske systemer. Måleteknikk Operasjonsforsterkere Forelesning nr.11 INF 1411 Elektroniske systemer Måleteknikk Operasjonsforsterkere Dagens temaer Måleteknikk Wheatstone-bro Ideell operasjonsforsterker Differensiell forsterker Opamp-kretser Dagens temaer

Detaljer

Forelesning nr.11 INF 1411 Elektroniske systemer. Måleteknikk Operasjonsforsterkere

Forelesning nr.11 INF 1411 Elektroniske systemer. Måleteknikk Operasjonsforsterkere Forelesning nr.11 INF 1411 Elektroniske systemer Måleteknikk Operasjonsforsterkere Dagens temaer Måleteknikk Wheatstone-bro Ideell operasjonsforsterker Differensiell forsterker Opamp-kretser Dagens temaer

Detaljer

Løsning eks Oppgave 1

Løsning eks Oppgave 1 Løsning eks.2011 Oppgave 1 a) 3) å minske forvrengningen b) 2) 93 db c) 3) 20 d) 2) 100 e) 2) høy Q-verdi f) 2) 0,02 ms g) 1) 75 kω h) 4) redusere størrelsen på R1 i) 1) 19 ma j) 2) minsker inngangs- og

Detaljer

Kondensator - Capacitor. Kondensator - en komponent som kan lagre elektrisk ladning. Symbol. Kapasitet, C = 1volt

Kondensator - Capacitor. Kondensator - en komponent som kan lagre elektrisk ladning. Symbol. Kapasitet, C = 1volt Kondensator - apacitor Lindem jan.. 008 Kondensator - en komponent som kan lagre elektrisk ladning. Symbol Kapasiteten ( - capacity ) til en kondensator måles i Farad. Som en teknisk definisjon kan vi

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1411 Introduksjon til elektroniske systemer Eksamensdag: 1. juni 2015 Tid for eksamen: 4 timer Oppgavesettet er på 5 sider

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Kandidatnr: Eksamensdato: 11.12.2012 Varighet/eksamenstid: Emnekode: Emnenavn: Klasse(r): 4 timer EDT210T-A Grunnleggende elektronikk 2EL Studiepoeng: 7,5

Detaljer

Fakultet for teknologi, kunst og design Teknologiske fag

Fakultet for teknologi, kunst og design Teknologiske fag Fakultet for teknologi, kunst og design Teknologiske fag Eksamen i: Elektronikk Målform: Bokmål Dato: 24. mai 2016 Tid: 0900-1200 Antall sider (inkl. forside): 5 (inkludert Vedlegg 1 side) Antall oppgaver:

Detaljer

Løsningsforslag eksamen inf 1410 våren 2009

Løsningsforslag eksamen inf 1410 våren 2009 Løsningsforslag eksamen inf 1410 våren 2009 Oppgave 1- Strøm og spenningslover. (Vekt: 15%) a) Finn den ukjente strømmen I 5 i Figur 1 og vis hvordan du kom frem til svaret Figur 1 Løsning: Ved enten å

Detaljer

Monostabil multivibrator One shot genererer en enkelt puls med spesifisert varighet kretsen har en stabil tilstand

Monostabil multivibrator One shot genererer en enkelt puls med spesifisert varighet kretsen har en stabil tilstand Lindem 22.april 2013 MULTIVIBRATORER En egen gruppe regenerative kretser. Brukes mest til generering av pulser i timere. 3 typer : Bistabile Monostabile Astabile Bistabil multivibrator Bistabil latch /

Detaljer

Forelesning nr.11 INF 1411 Elektroniske systemer. Måleteknikk Operasjonsforsterkere

Forelesning nr.11 INF 1411 Elektroniske systemer. Måleteknikk Operasjonsforsterkere Forelesning nr.11 INF 1411 Elektroniske systemer Måleteknikk Operasjonsforsterkere Dagens temaer Måleteknikk Wheatstone-bro Ideell operasjonsforsterker Differensiell forsterker Opamp-kretser Dagens temaer

Detaljer

Fakultet for teknologi, kunst og design Teknologiske fag

Fakultet for teknologi, kunst og design Teknologiske fag Fakultet for teknologi, kunst og design Teknologiske fag Eksamen i: Elektronikk Målform: Bokmål Dato: 26. mai 2015 Tid: 0900-1200 Antall sider (inkl. forside): 4 (inkludert Vedlegg 1 side) Antall oppgaver:

Detaljer

Monostabil multivibrator One shot genererer en enkelt puls med spesifisert varighet kretsen har en stabil tilstand

Monostabil multivibrator One shot genererer en enkelt puls med spesifisert varighet kretsen har en stabil tilstand Lindem 24.april 2010 MULTIVIBATOE En egen gruppe regenerative kretser. Brukes mest til generering av pulser i timere. 3 typer : Bistabile Monostabile Astabile Bistabil multivibrator Bistabil latch / flip

Detaljer

Løsningsforslag til EKSAMEN

Løsningsforslag til EKSAMEN Løsningsforslag til EKSAMEN Emnekode: ITD006 Emne: Fysikk og datateknikk Dato: 09. Mai 007 Eksamenstid: kl 9:00 til kl :00 Hjelpemidler: 4 sider (A4) ( ark) med egne notater. Kalkulator. Gruppebesvarelse,

Detaljer

Fakultet for teknologi, kunst og design Teknologiske fag

Fakultet for teknologi, kunst og design Teknologiske fag Fakultet for teknologi, kunst og design Teknologiske fag Ny/utsatt eksamen i: Elektronikk Målform: Bokmål Dato: 8. juli 015 Tid: 0900-100 Antall sider (inkl. forside og 1 side Vedlegg): 5 Antall oppgaver:

Detaljer

Lab 5 Enkle logiske kretser - DTL og 74LS00

Lab 5 Enkle logiske kretser - DTL og 74LS00 Universitetet i Oslo FYS1210 Elektronikk med prosjektoppgave Lab 5 Enkle logiske kretser - DTL og 74LS00 Sindre Rannem Bilden 4. april 2016 Labdag: Tirsdag Labgruppe: 3 Oppgave 1: Funksjonstabell En logisk

Detaljer

Innhold Oppgaver om AC analyse

Innhold Oppgaver om AC analyse Innhold Oppgaver om AC analyse 30 a) Finn krets og bodeplot vedhjelp av målt impulsrespons.... 30 b) Finn krets og bodeplot vedhjelp av målt respons.... 30 Gitt Bodeplot, Del opp og finn systemfunksjon...

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Side 1 Det matematisk-naturvitenskapelige fakultet Eksamen i: INF1411 Eksamensdag: mandag 3.juni 2013 Tid for eksamen: 14.30-18.30 Oppgavesettet er på 6 sider Vedlegg: Ingen Tillatte

Detaljer