ELE610 Prosjekter i robotteknikk, vår 2016.

Størrelse: px
Begynne med side:

Download "ELE610 Prosjekter i robotteknikk, vår 2016."

Transkript

1 Stavanger, 1. desember 2015 Det teknisknaturvitenskapelige fakultet ELE610 Prosjekter i robotteknikk, vår Lab. 1, introduksjon og FIR filter. Innhold 0 Introduksjon 3 1 Oppgaver Noen spørsmål om systemet Binær tallrepresentasjon Generere bit-fil, lab01a Kjør bit-fil Observere inn- og utgangssignal Lag en endret modell, lab01b Oppgave tatt ut for Boxcar-filter Frekvensrespons Faktorisere Boxcar-filter lab01c lab01d og lab01e Andreordens FIR-filter Tredjeordens FIR-filter Fjerdeordens FIR-filter Niendeordens FIR-filter Karl Skretting, Institutt for data- og elektroteknikk (IDE), Universitetet i Stavanger (UiS), 4036 Stavanger. Sentralbord Direkte E-post: karl.skretting@uis.no.

2 1.17 Ellefteordens FIR-filter lab01f lab01g, lab01h og lab01i lab01j lab01k System Generator, lage ei bit-fil Impact, laste og kjøre ei bit-fil på FPGA Teori: Sampling med mer Sampling A/D og D/A konvertering på P160-kortet Binær tallrepresentasjon Hexadesimal tallrepresentasjon Bitrepresentasjon av tall FIR-filter teori med mer FIR-filter z-transform Faktorisering Nullpunkt for andreordens FIR-filter Frekvensrespons Eksempel med FIR lavpassfilter Fourier-serie representasjon Boxcar-filter og tallet w N Kaskadeimplementasjon av FIR-filter

3 0 Introduksjon I denne første labøvinga skal en bli kjent med laboppsettet og få en kort introduksjon til noen av de viktigste verktøyene. Dette gjøres ved å lese litt dokumentasjon. Deretter lager en en helt enkel modell og sender et signal gjennom systemet med minst mulig behandling. Deretter skal dere lage noen enkle FIR-filter og filtrere signaler fra signalgeneratoren. I del 1 er oppgavene for denne øvinga. I del 5 er et eksempel på hvordan en bit-fil lages med System Generator, og del 6 forklarer videre hvordan bit-fil lastes ned på FPGA-kortet med å bruke Impact-programmet. De siste delene her gjengir kort noe teori dere bør kunne fra før, men gjerne trenger å repetere likevel. De er med her fordi det er viktig at dere kan dette når disse oppgavene skal løses. Generelt antas det at studentene har noe teoretisk bakgrunn i signaler og systemer, for eksempel lærebok av Haykin (Signals and Systems) eller Lathy (Linear Systems and Signals). Kanskje enda viktigere er det med teoretisk bakgrunn i signalbehandling. Læreboka dere brukte da bør dere fortsatt beholde og bruke i dette faget også. Jeg vil likevel ikke referere spesielt til bestemte sider, ligninger eller figurer i denne læreboka. Bruk gjerne deres favorittbok i signalbehandling for de ulike emner som dere kan ha behov for å repetere noe. 1 Oppgaver Her skal dere først bli kjent med dokumentasjon om utstyr og programvare som brukes, se nettsida for FPGA-delen av kurset. System Generator fra Xilinx er hovedverktøyet i dette faget. Selve brukermanualen (888 sider) kan være nyttig, det er ei lenke til denne fra nettsida. Imidlertid er hjelpefunksjonene i selve programmet ofte tilstrekkelig, bli kjent med disse og bruk de. System Generator er et tillegg til Matlab Simulink verktøyet og hvis dere ikke kjenner det fra før må dere også øve dere litt i å bruke Simulink. Det skulle holde å gå gjennom Simulink Getting Started dokumentet, det er ei lenke til denne fra nettsida. Selve prosessen med å lage ei bit-fil er beskrevet i del 5 side Noen spørsmål om systemet Svar så på følgende spørsmål som går mest på det å få oversikt over system og dokumentasjon, men det er også et lite tilsnitt av teori. a. Hva er klokkefrekvens på Virtex-II pro utviklingskortet? b. Hvor mange bits oppløsning er det på A/D omformeren på P160 kortet? 3

4 c. Hvor mange bits oppløsning er det på D/A omformeren på P160 kortet? d. Hva er knekkfrekvens på anti-aliasing-filter på inngang, altså AD-modul på P160 kort? e. Hva er knekkfrekvens på glatte-filter på utgang, altså DA-modul på P160 kort? f. Anta at en i System Generator blokka har satt Simulink System Period (SSP) til 1/n. Hva er da samplefrekvensen? 1.2 Binær tallrepresentasjon Her kommer ei lita teorioppgave om binær tallrepresentasjon. Her skal alle tall representeres med 4 bit, 2-er komplement. Verdier som kan representeres er da fra -8 til 7. Vi har gitt en sekvens med tall (som her er begrenset til -1, 0, og 1), denne er her: {a(k)} 16 k=1 = {1, 1, 1, 0, 1, 1, 1, 1, 1, 0, 1, 1, 1, 1, 1, 1}. Vi ønsker å summere disse i grupper på 4 og 4. Dette kan gjøres med en sekvens av integrator, desimator, og differanse-blokker, se figur 1. Merk at en i integratoren kan få overflyt, men det vil likevel ikke føre til feil i sluttresultatet. a. Skriv binærrepresentasjonen for tallene -1, 0, og 1. b. Integratoren er en akkumulator som initielt har verdien b(0) = 0. For hvert steg, k = 1, 2,..., 16, så legges verdien a(k) til integratoren. Skriv sekvensen {b(k)} 16 k=1 for k = 1, 2,..., 16, binært og desimalt. Husk at tallrepresentasjon er 4 bit, 2-er komplement. c. Desimatoren tar så sekvensen fra punkt (b) og beholder hvert fjerde element og kaster de andre, de som beholdes er for k = 0 og videre for k = 4, 8, 12 og 16. Disse fem verdiene nummereres med m = 0, 1,..., 4, denne sekvensen kalles c. Skriv disse binært og desimalt. d. Nå skal vi ha ei differanseblokk. Sekvensen d lages for m = 1, 2,..., 4 slik at d(m) = c(m) c(m 1). Skriv disse binært og desimalt. e. Sammenlign d(1) med 4 k=1 a(k), d(2) med 8 k=5 a(k), d(3) med 12 k=9 a(k), d(4) med 16 k=13 a(k). Blir verdiene de samme? 1.3 Generere bit-fil, lab01a Vi skal nå lage en enkel modell og sende et signal gjennom lab-oppsettet, altså FPGA-en. Dere skal i rapporten ha med en kort oppsummering av det dere 4

5 a(k) + b(k) 4 c(m) + d(m) z 1 + z 1 Figur 1: Enkel skisse av system i oppgave 1. har gjort på laben inkludert forklaring og gjerne med bruk av figurer. Vi tar det steg for steg. En logger på lokalt på PCen og har dermed ikke tilgang til egen katalog på UiS nettet, en har heller ikke tilgang til felleskataloger på UiS nettet. En har altså kun tilgang til lokale kataloger. En har likevel generell tilgang til internett der de viktigste sidene er It s learning nettsider og nettsida for FPGA-delen av ELE610 kurset. Disse sidene brukes aktivt i dette kurset. En starter med å logge seg på PC-en med brukernavn (idelab) og passord som dere får oppgitt på laben. a. På grunn av tilgangsrettigheter for midlertidige filer må System Generator arbeide mot en lokal disk. Derfor bør dere opprette en egen katalog der data for deres gruppe lagres, både de filer dere laster fra fagets nettside og de filer dere lager selv. Katalogen(e) må være på D-disken på den PCen deres gruppe skal bruke på laben. Bruk D:\navn\, der navn er navn for deres gruppe, for eksempel JFKS for gruppa bestående av Jon Fidjeland og Karl Skretting. Ikke bruk mellomrom eller andre spesielle tegn i katalognavn eller filnavn, System Generator programvaren stiller strengere krav enn operativsystemet og takler ikke alle gyldige navn. Hvis en vil kan en ha en underkatalog for hver øving. De mange filene System Generator lager kommer (hvis ikke noe annet gis inn) på underkataloger (..\netlist\) under aktiv katalog. Det er også hensiktsmessig at dere hele tiden har en kopi av denne katalogen (med underkataloger) på en minnepinne slik at dere for eksempel kan jobbbe med rapporter eller rene Matlab oppgaver fra en PC hvor som helst. Husk bare å synkronisere data mellom minnepinnen og katalogen på D-disken. På denne måten har dere også en sikkerhetskopi av arbeidet deres. b. Start Matlab og gå til egen katalog. Dere må starte Matlab med ikon på skrivebordet: MATLAB R2008a. c. Åpne Simulink-modellen lab01a.mdl. Dere skal da få opp en modell som vist nedenfor. Se på den og undersøk de ulike blokker i denne. 5

6 d. Dobbelklikk (eller høyreklikk + Open) på System Generator blokka og sett verdier som vist i figuren nedenfor. Merk at felt for klokke-oppsett kan være flyttet litt i denne dialogboksen nå. Simulink System Period (SSP) som her settes til 1/2. Dette gir samplerate på 50 MHz. Avslutt med å klikke på ok-knappen. e. Vis datatyper i modellen. Format - Port/Signal Displays - Port Data Types. Ofte er det nyttig å vise datatypen for alle signaler i systemet, mange feil skyldes at en antar en annen datatype enn det faktisk er. f. Se Matlab-dokumentasjonen for kommandoen print og lag en eps-fil av modellen. Eventuelt et annet format som er hensiktsmessig for bruk i rapporten deres. Merk at noen formater ikke er mulige for simulink modeller, for eksempel png. g. Generer ei bit-fil, det vil si ei konfigurasjonsfil for FPGA. Se gjerne en mer detaljert beskrivelse i del 5 side 22. Klikk på System Generator blokka og klikk så på Generate-knappen. Mye skal nå skje og til slutt får dere forhåpentligvis meldingen Compilation Finished Successfully. 6

7 1.4 Kjør bit-fil Når modellen av systemet er laget skal den lastes til FPGA-kretsen og deretter skal en sende et signal gjennom systemet. FPGA-utviklingskortet må være tilkoblet PC-en via USB, og strømtilførselen må være skrudd på når Impactprogrammet kjøres. Mer detaljert beskrivelse av Impact-programmet på PC-en er i del 6 side 24. a. Skru på oscilloskop og koble signal fra signalgenerator, tilknytting til venstre på oscilloskop, til både inngang på oscilloskop og inngang 1 på P160 kortet. En trenger en forgening for å få dette til. Sjekk at signal vises greitt på skopet, velg sinussignal med frekvens 10 khz og amplitude peakto-peak (p-p) på 1 V, og riktig offset 1. Sjekk både amplitude, frekvens og offset signalet både som det sendes fra signalgeneratordelen og som det måles på oscilloskopdelen. b. Koble utgang 1 på P160 kortet til oscilloskop. Bruk Impact-programmet, se del 6, og last generert bitfil ned på FPGA-kortet. Sjekk på oscilloskop at signalet fra FPGA viser. Bildet på oscilloskopet blir som i figur 2 side 9 når inngang er firkantpulser med frekvens 10 khz. 1.5 Observere inn- og utgangssignal a. La inngangssignalet til FPGA-kretsen (via P160-I/O-kortet) være et sinussignal eller et firkantsignal med frekvens 10 khz og amplitude p-p på 750 mv. Mål på oscilloskopet hvilken amplitude dere har ut fra FPGAkretsen (via P160-kortet). Bildet på oscilloskopet kan nå være som i figur 2. Nå forklarer jeg ut fra denne figuren, dere skal forklare på tilsvarende måte ut fra de resultatene dere ser på oscilloskopet. Både inngangssignalet (grønt) og utgangssignalet (gult) er sentrert omkring 2.5 V. Inngang og utgang er hele tiden i forhold til FPGA-kretsen (via P160- I/O-kortet). Amplituden, peak-to-peak, blir dempet fra 740 til 440 mv, altså mulitplisert med faktor 0.59, dette skjer selv om modellen ikke har noen skalering av signalet. Sjekk om denne faktoren er konstant for ulike amplituder (500 mv og 1 V p-p) på inngangssignalet, det er best om den er det. b. Bildet på oscilloskopet som i figur 3 viser hvordan en måler forsinkelsen av signalet gjennom FPGA. Frekvensen er øket for bedre å se både forsinkelse og periode. Forsinkelse måles til å være ca 230 ns. Samplefrekvensen på FPGA er 50 MHz, det vil si sampleperiode på 20 ns. Økes 1 Offset er nødvendig når en tar signalet direkte til P160 kortet, der en skal ha et signal mellom 0 og 5 V, altså sentrert om 2.5 V. Hvis signalgenerator antar 50 ohm motstand, mens det i virkeligheten er mye større motstand (uendelig) så må offset halveres, det vil si 1.25 V. 7

8 sampleperioden (lavere samplingsfrekvens) vil en se at forsinkelsen øker, generelt har jeg funnet at forsinkelsen er 10 ganger sampleperioden pluss ca 30 ns. Prøv om dere også klarer å måle dette. c. Øk frekvensen gradvis opp mot maksimalfrekvens som signalgeneratoren kan levere 2. Kommer signalet nå greitt gjennom FPGA-en i hele dette spekteret? Forklar. Med 10 MHz på inngang ble bildet på oscilloskopet som i figur 4, merk at det er firkantpulser som vi ber signalgeneratoren om å lage. 1.6 Lag en endret modell, lab01b Med utgangspunkt i modellen lab01a skal dere nå lage en ny modell lab01b. a. Start med å lagre modellen lab01a som lab01b på deres katalog. Klikk så på System Generator blokka og sett Simulink System Period (SSP) til 1/100. Hva blir samplerate nå? Hva blir Nyquist-frekvensen? b. Generer bit-fil for modellen, beskrevet i del 5. En vil nå få en melding eller advarsel om at sampleraten er lavere enn den trenger å være. Det er greitt og en trenger ikke bry seg om denne meldinga. c. Last bit-fil for modellen ned til FPGA-krets, del 6 punkt 11 til 13 (og 8 til 10). d. La inngangssignalet til FPGA-kretsen (via P160-I/O-kortet) være et sinussignal med frekvens 10 khz og amplitude 1Vpp. e. Øk frekvensen gradvis opp mot 500 khz. Kommer signalet nå greitt gjennom FPGA-en i hele dette spekteret? Forklar. Med 200 khz på inngang ble bildet på oscilloskopet som i figur 5. f. Hva er resultatet når frekvensen er 600 khz. Forklar. Med 600 khz på inngang fikk jeg bildet på oscilloskopet som i figur 6. g. Hva er resultatet når frekvensen er 900 khz. Forklar. h. Hva er resultatet når frekvensen er 1100 khz. Forklar MHz for firkantpulser og 20 MHz for sinussignal. 8

9 Figur 2: Skjermbildeprint fra oscilloskopet. Se oppgave 4b og 5a. Her er gult (1) er signalet fra signalgeneratoren grønt (2) signalet fra FPGA-P160-kortet. Figur 3: Skjermbildeprint fra oscilloskopet. Se oppgave 5b. 9

10 Figur 4: Skjermbildeprint fra oscilloskopet. Se oppgave 5c. Figur 5: Skjermbildeprint fra oscilloskopet. Se oppgave 6e. 10

11 Figur 6: Skjermbildeprint fra oscilloskopet. Se oppgave 6f. Utgangssignalet (nederst) er 400 khz men det er ikke lett hverken å se eller å måle. Figur 7: Skjermbildeprint fra oscilloskopet. Se oppgave 6h. 11

12 1.7 Oppgave tatt ut for 2016 Oppgavene 8 til 12 I de neste oppgavene skal dere lage enkle FIR-filter. Oppgaven 8 til 9 gjøres i Matlab, oppgave 10 er ei frivillig teorioppgave, og så kommer laboratorieoppgavene 11 og 12. På laboratoriet skal vi her lage enkle FIR-filter, og vi skal se på responsen. I tillegg til sinussignal skal vi også sende inn firkantpulser, og for å forstå resultatet en får da er det viktig å forstå at firkantpuls kan ses på som en sum av noen få sinussignal. Før dere begynner på de følgende oppgavene kan det være greitt å friske opp kunnskapen om FIR-filter, z-transform og frekvensrespons. Les og forstå teorien om FIR-filter, del 8 fra side 34 her. Les litt om z-transformen gjerne fra deres favorittbok i signalbehandling. Les litt om frekvensrespons for filter, gjerne fra deres favorittbok i signalbehandling. Les om hvordan FIR-filter kan implementeres ved å bruke ulike strukturer for FIR-filter, gjerne fra deres favorittbok i signalbehandling. 1.8 Boxcar-filter Her skal dere finne nullpunkta for transferfunksjonene for boxcar-filter med ulik lengde, ligning 31. Det å finne nullpunkta for et polynom er egentlig det samme som å faktorisere polynomet. Bruk gjerne Matlab-funksjonen roots. Her er det best å skrive (de komplekse) røttene, det vil si nullpunkta, med polare koordinater, z = x + jy = re jθ, der siste representasjon er polar. a. Boxcar-filter med lengde N = 2. b. Boxcar-filter med lengde N = 4. c. Boxcar-filter med lengde N = 5. d. Boxcar-filter med lengde N = 8. e. Hva er mønsteret for plassering av nullpunkta i disse tilfellene? 12

13 1.9 Frekvensrespons Her skal en se på frekvensresponsen for boxcar-filteret. Bruk gjerne Matlabfunksjonen freqz for å finne verdiene som skal plottes, figuren som denne funksjonen kan lage kan ikke brukes for akkurat disse oppgavene, dere må da bruke plot. En Matlab-GUI (graphic user interface), boxcar gui.m, kan brukes for å vise, på noen ulike måter, frekvensresponsen for ulike boxcar-filter. Bruk gjerne dette for å sjekke at dere får riktige resultat, men bruk plot for å lage figuren en spør etter i denne oppgaven. a. Lag et plott av frekvensresponsen for boxcar-filter med lengde N = 10 og skalert med 1 N. Samplingsfrekvensen er F s = 1 MHz. La x-aksen være lineær og gå fra 0 til 500 khz, og y-aksen være lineær fra 0 til Faktorisere Boxcar-filter Denne oppgaven er frivillig i Vis at transferfunksjonen H(z) for boxcar-filter med generell lengde N kan faktoriseres som nedenfor H(z) = 1 N N 1 k=0 z k = 1 N N 1 (1 wnz k 1 ). (1) k=1 Dette er ikke helt enkelt, men med å bruke ligningene 32 og 2 bør det gå greitt. Bruk gjerne det komplekse tallet w N = e j2π/n når svaret skrives. Her får dere bruk for formelen for endelig sum av ei geometrisk rekke, den er n 1 a k = k=0 { 1 a n 1 a når a 1 n når a = 1 (2) 1.11 lab01c Med Simulink og System Generator skal dere implementere et boxcar-filteret med N = 4 og skalert med 1 på direkte form, se figur 9. Det er mange måter N å tegne dette på, forslaget i figur 11 er et alternativ. Alle filterkoeffisientene er implementert med en skaleringsblokk, dette er svært enkelt å implementere i hardware. Legg merke til Convert blokka; en skal ha Signed, 12 bit og 12 bit etter binærtegn (punktum), og Truncate. Hvorvidt en bør ha Wrap eller Saturate for overflow behavior kan diskuteres, wrap gjør det enklere å 13

14 oppdage overflyt, mens saturate vil beholde signalet mest rimelig ved overflyt. Hensikt med convert-blokk er at en skal sikre seg at signalet som sendes til DA-konverteren er gyldig. a. Lag modellen og lagre den som lab01c.mdl. Ta utskrift av modellen og ta figuren med i rapporten. Matlab print kommando kan gjerne brukes, eller det kan gjøres fra menyen i Simulink. b. Bruk Simulink System Period = 1/100, og generer bit-fil og kjør denne på FPGA-kretsen. Mål frekvensresponsen for et sinussignal med frekvens fra 50 til 450 khz i steg på 50 khz, plott amplitude mot frekvens på et ruteark. Merk at amplitude kan måles på skopet. c. Hvordan passer denne figuren med figuren dere laget i spørsmål 9.a? d. Ta nå en firkantbølge som inngangssignal, frekvens ca 50 khz, og se på resultatet på skopet. Hvorfor får en nå tre punkt mellom topp og bunnivåene? e. Bruk nå Simulink System Period = 1/2, modellen kan fortsatt lagres som lab01c.mdl. Generer bit-fil på ny og kjør denne på FPGA-kretsen. Mål frekvensresponsen for et sinussignal med frekvens fra 1 til 9 MHz i steg på 1 MHz, plott amplitude mot frekvens på et ruteark. f. Hvordan passer denne figuren med figuren dere laget i spørsmål 9.a? En sammenligning med 9.c er mindre hensiktsmessig siden 9.c skal være i desibel lab01d og lab01e Med Simulink og System Generator skal dere implementere et boxcar-filteret med N = 8 og skalert med 1 på transponert direkte form, se figur 10. Fordelen N med transponert form er at en da unngår flere addisjonsblokker i sekvens uten forsinkelsesblokk mellom. Det er mange måter å tegne dette på, forslagene i figurene 12 og 13 er noen alternativ. I figur 13 har en samlet noen få blokker i et subsystem som gjentas flere ganger. Selve subsystemet viser i figur 8. a. Lag modellen og lagre den som lab01d. Ta utskrift av modellen og ta figuren med i rapporten. Matlab print kommando kan gjerne brukes, eller det kan gjøres fra menyen i Simulink. b. Bruk Simulink System Period = 1/100, og generer bit-fil og kjør denne på FPGA-kretsen. Mål frekvensresponsen for et sinussignal med frekvens fra 50 til 450 khz i steg på 50 khz, plott amplitude mot frekvens på et ruteark. 14

15 c. Hvordan er frekvensresponsen endret nå når N = 8 i forhold til når vi hadde N = 4. Figur 8: Subsystemet DelayAdd. 15

16 z 1 z 1 z 1 z 1 b 0 b 1 b 2 b 3 b N 2 b N Figur 9: Direkte form, generell implementering av et FIR-filter med lengde N, transferfunksjonen er H(z) = N 1 k=0 b kz k. Symbolet betyr en mutiplikasjon med en faktor, faktorens navn står like til høyre for symbolet. b N 1 b N 2 b 2 b 1 z z 1 + z 1 + b 0 Figur 10: Transponert direkte form, generell implementering av et FIRfilter med lengde N. Figur 11: Simulink realisasjon av et boxcar filter med N = 4. En bruker her blokker fra Xilinx blokkset for System Generator. 16

17 Figur 12: Simulink realisasjon av et boxcar filter med N = 8. Dette er alternativ uten subsystem. Figur 13: Simulink realisasjon av et boxcar filter med N = 8 med subsystem. 17

18 b 1 b z 1 z Figur 14: Her vises hvordan et andreordens lineær fase FIR-filter, filteret H 1 (z) i oppgave 1, kan implementeres. Her er b 2 = b 0. En har utnyttet symmetrien i filteret. Symbol betyr en mutiplikasjon Andreordens FIR-filter En har gitt et andreordens FIR-filter H 1 (z) = b 0 + b 1 z 1 + b 2 z 2 (3) Filterkoeffisientene i ligning 3 skal være reelle og symmetriske, dermed får vi b 0 = b 2 0. Vi skal se på frekvensresponsen for filteret med form som i ligning 3. En måte å implementere filteret på viser i figur 14. a. Vis at H 1 (ω) = b cos ω der H 1 (ω) = H 1 (z) z=e jω Tredjeordens FIR-filter Vi skal nå se på et konkret tredjeordens FIR-filter H 2 (z) = z z z 3 (4) = 1 8 (1 + 3z 1 + 3z 2 + z 3 ) a. Finn røttene for polynomet H 2 (z) i ligning 4. b. Faktoriser H 2 (z) og vis hvordan filteret kan implementeres som en kaskade av tre enkle filter. c. Plott frekvensresponsen for filteret, bruk lineær skala Fjerdeordens FIR-filter Vi skal nå se på et konkret fjerdeordens FIR-filter H 3 (z) = 1 4 (1 + z 1 ) 3 (1 z 1 ) = 1 4 z 4 (z + 1) 3 (z 1). (5) 18

19 a. Skriv transferfunksjonen, H 3 (z), for filteret som et polynom. b. Plott frekvensresponsen for filteret, bruk lineær skala. c. Hva er maksimal frekvensforsterkning for dette filteret og ved hvilken frekvens? d. Anta at inngangen er begrenset slik at 1 x(k) 1, hva vil da være mulig område som utgangssignalet y(k) må befinne seg innenfor. Hint: maks amplitude på inngangssignalet vil komme hvis x er firkantpulser med frekvens f N /3, altså en periode av x(k) er 6 sampler med verdier {1, 1, 1, 1, 1, 1} Niendeordens FIR-filter Ved å fordele nullpunkt utover enhetssirkelen i venstre halvplan kan en lage noen nokså enkle og i mange sammenhenger greie lavpassfilter. Vi skal nå se på et konkret niendeordens FIR-filter som også kan skrives H 4 (z) = 1 ( z z z z z z z z 8 + 4z 9) (6) H 4 (z) = z 9 (z 2 + 1)(2z 2 + z + 2)(z 2 + z + 1)(2z 2 + 3z + 2)(z + 1) (7) a. Filteret H 4 (z) forsterker lave frekvenser, det vil si DC-komponenten, med en faktor noe større enn 1. Hva er denne faktoren? b. Plott (i Matlab, lineær skala og hele frekvensområdet) frekvesresponsen for filteret. c. For å få bedre fram responsen i stoppbandet er det ofte bedre med logaritmisk skala. Plott frekvensresponsen også i logaritmisk skala Ellefteordens FIR-filter Vi skal nå ha et nytt filter som er filteret fra forrige punkt multiplisert med et andreordens FIR-filter. Vi har nå der H 4 (z) er som ligning 6 og 7. H 5 (z) = H 4 (z)( 2 + 5z 1 2z 2 ) (8) a. Plott frekvensresponsen for filteret H 5 (z) i ligning 8, plott denne med både med lineær skala 19

20 b. og med logaritmisk skala. c. Plott også nullpunkta, Matlab-kommandoen zplane. d. Sammenlign denne med frekvensresponsen for H 4 (z) i ligning 6 og 7. e. Hva har en oppnådd med den ekstra faktoren og når kan det være ønskelig? f. Hva er ulempene med denne ekstra faktoren? 1.18 lab01f Her skal dere implermentere filteret H 2 (z) i ligning 4. a. Implementer filteret med System Generator i Simulink med å bruke tre forsinkelser og tre addisjoner og en enkel skalering. Det enkleste her er gjerne da en kaskadeimplementering, se figur 19 side 44. Lagre modellen som lab01f.mdl. Ta med en figur med modellen i rapporten. b. Bruk Simulink System Periode (SSP) på 1/100, samplingsrate 1 MHz, og kjør filteret i forrige punkt på FPGA. Kontroller at frekvensresponsen blir som forventet, se 14.c lab01g, lab01h og lab01i Her skal dere implementere filteret H 3 (z) i ligning 5. Dette filteret kan effektivt implementeres på flere måter. Dere skal her prøve å gjøre det på minste tre ulike måter. a. Først skal dere implementere filteret på direkte form, se figur 9 side 16. Lagre modellen som lab01g.mdl. Sjekk at SSP=1/100. Test at filteret virker, det gjøres enklest ved å kontrollere at frekvensresponsen blir som forventet ut fra resultatet av oppgave 15.b. For å sjekke at denne implementasjonen virker likt som de neste to implementasjonene skal en også sende en firkantpuls gjennom systemet, frekvens mellom 50 og 100 khz, f.eks 80 khz, skisser formen på utgangssignalet i rapporten. b. Så skal dere implementere filteret på transponert direkte form, se figur 10 side 16. Lagre modellen som lab01h.mdl. Kontroller at denne implementasjonen av filteret virker slik den skal. Modellen er ganske lik forrige modell, og den skal virke akkurat likt, kontroller med samme firkantsignal inn som i forrige implementasjon av filteret. 20

21 c. Til slutt skal dere implementer filteret på kaskadeform, figur 19 side 44. Siden filteret H 3 (z) kan faktoriseres på flere måter så kan også kaskadeimplementeringen gjøres på flere måter. Her skal dere bruke følgende faktorisering H 3 (z) = 1 4 (1 + z 1 )(1 + z 1 )(1 z 2 ), en har jo at faktoren (1 z 2 ) = (1 + z 1 )(1 z 1 ). Hent modellen som lab01i.mdl. Kontroller at også denne implementasjonen av filteret virker slik den skal. Kontroller også med samme firkantsignal inn som i de to forrige implementasjonene av filteret. d. Hva er fordeler og ulemper med de ulike implementasjonene? Dere kan her vurdere antall addisjoner som må gjøres etter hverandre i løpet av en sampleperiode, og på totalt antall operasjoner som må gjøres. Lesbarhet av systemene i Simulink er gjerne vel så viktig med tanke på gjenbruk lab01j Her skal dere implermentere filteret H 4 (z) i ligning 7. Dette kan implementers på kaskadeform uten multiplikasjoner, merk at ved å bruke 3=2+1 kan en unngå en multiplikasjon (som i hardware er mer krevende enn addisjon, multiplikasjon med 2 gjøres med shift og er i prinsippet gratis i hardware). Gjør dette og test at filteret virker, sjekk spesielt at frekvensresponsen en får blir slik som forventet, altså figuren dere fikk i spørsmål 16.b. Lagre modellen som lab01j.mdl, ta utskrift av modellen og ta figuren med i rapporten lab01k Her skal dere implermentere filteret H 5 (z) i ligning 8. Dette kan implementers på kaskadeform uten multiplikasjoner, merk at 5=4+1. Gjør dette og test at filteret virker, sjekk spesielt at frekvensresponsen en får blir slik som forventet, altså figuren dere fikk i spørsmål 17.a. Lagre modellen som lab01k.mdl, ta utskrift av modellen og ta figuren med i rapporten. 21

22 5 System Generator, lage ei bit-fil. Når en har laget en modell i Simulink vil en lage ei bit-fil som deretter kan overføres til FPGA brikka og kjøres der. I dette eksempelet brukes den helt enkle modellen som nedenfor En klikker på System Generator blokka i modellen, og får opp en dialogboks som her sjekker at alt ser ok ut, det vil si at verdiene du har er som over, muligens med unntak av SSP, og klikker på Generate knappen. Nå skal en hel masse skje og etter hver får en forhåpentligvis melding om Generation completed. Mens dette skjer viser en statusboks, denne vil ofte vise running ei god stund 22

23 men til slutt er en ferdig og da vises forhåpentligvis En kan trykke på ShowDetails-knappen og se detaljert rapport om hva som har skjedd. Den mest interessante delen her er antakelig Device utilization summary:, den delen viser nedenfor Her viser hvor mye ressurser på FPGA-brikken modellen bruker, og vi ser at det for denne enkle modellen her er ganske lite. Dere må gjerne ta dette vinduet større, og rulle gjennom logg-fila og se på alt som er gjort og loggført. Når (hvis) alt er greit er det laget en bit-fil, lab01a cw.bit, og en avslutter med å klikke på ok-knappen. 23

24 6 Impact, laste og kjøre ei bit-fil på FPGA. Figurene i denne delen kan bli litt små hvis dere skriver ut dokumentet, men figurene er ikke det viktigste her, og dessuten vil de vise godt hvis dere leser dokumentet med Acrobat Reader og gjerne bruker forstørrelse 300%. 1. Impact er et program fra Xilinx som brukes for å laste en lagret bit-fil ned til FPGA utviklingskortet, og å starte dette programmet. Impact skal være installert på PCene på laben og finnes enten som en snarvei (eget ikon i Start-menyen) eller så kan det finnes fra Start-menyen i Windows XP: - All Programs - Xilinx ISE Design Suite ISE - Accessories - impact. 2. Programmet startes og tre vindu åpnes; det er et DOS-vindu i bakgrunnen og en Windows-program (det er impact-programmet sitt hovedvindu) i forgrunnen, dette åpner straks et dialogvindu som nedenfor. En kan få følgende advarsel impact Access Permission, det ser ut til å gå greitt om en ignorerer denne og trykker OK boksen. I dialogvinduet spør en om prosjekt, ofte vil en bruke impact i en større sammenheng med uttesting, debugging og feilretting og dermed er det hensiktsmessig å organisere arbeidet i ei prosjektfil. Vi skal kun laste bit-fila fra PC til utviklingskortet, men må likevel ha et prosjekt selv om vi ikke trenger det. Siden vi i praksis ikke bruker prosjektet kan vi bare la arbeidskatalogen være til default verdi. Vi starter et nytt prosjekt her (selv om vi senere lar være å lagre det), merker tilhørende valgboks og trykker OK boksen. 3. Deretter får en opp et dialogvindu som heter Welcome to impact. Vi skal nå Configure devices using Boundery-Scan (JTAG) Automatically, og trykker Finish-knappen. 24

25 4. Hvis alt gikk bra og kommunikasjon mellom PC og utviklingskort ble opprettet ok får en opp følgende bilde med beskjed om at en nå skal tilordne ei bit-fil. En velger bit-fil fra den katalogen der System Generator lagret den, kanskje omtrent som dette../netlist/lab01a_cw.bit under den katalogen en brukte for Simulink, altså Matlab. En avslutter her med å klikke på Open-boksen. 5. En må bekrefte at dette er konfigurasjonsfila og klikker på ok i denne dialogboksen. 25

26 6. En får en ny dialogboks som en bare godtar og går videre fra. 7. Når det er gjort får en opp impact-programmet sitt hovedvindu som nedenfor. Nederste del i dette viser ei output -fil som en kan rulle opp og ned i. Over denne (til høgre) viser en del med FPGA brikka. 26

27 8. En velger Program på menyen som spretter opp når en høyreklikker på enheten (bilde av FPGA-en). 9. Etter hvert kommer da forhåpentligvis følgende bilde opp med melding om at Programming Succeeded. Det er bra og da kjører programmet i FPGA-en, det vil si FPGA-utviklingskortet er konfigurert i henhold til lastet bit-fil. Nå skal programmet, bit-fila, kjøre på FPGA-kretsen. Hvis programmet er riktig blir da resultatet på utgang slik det skal ut fra signalet på inngangen. Dette sjekkes på oscilloskopet. 10. Ofte er det så at når ei bit-fil har kjørt ei stund så ønsker en å kjøre ei ny i stedet. En kan da igjen høyre-klikke på enheten, impact-programmet sitt hovedvindu. Men nå velger Assign New Configuration File i stedet for Program. 11. En får da opp dialogboksen der en kan velge konfigurasjonsfil. Her merker en den som alt er lastet og klikker på Remove-knappen. En trykker så på Add-knappen og finner den nye konfigurasjonsfila, og trykker på okknappen. 27

28 12. Når så ny konfigurasjonsfil er valgt må denne lastes ned. En høyreklikker på enheten, bilde av FPGA-en i impact-programmet sitt hovedvindu, for å velge operasjon Program. Nå fortsetter en og godtar programvalgene, og får til slutt forhåpentligvis igjen beskjed om at Programming Succeeded. 13. Et annet alternativ kan være å laste samme bit-fil ned til FPGA-en på ny. Det vil si bit-fil med samme navn men der en gjerne har gjort noen nye endringer siden sist. Det kan gjøres på samme måte som når en skifter bitfil: En kan da høyre-klikke på enheten, velger Assign New Configuration File, og får opp dialogboksen der en kan velge konfigurasjonsfil. Men nå tar en ikke Remove og Add, men trykker straks på ok-knappen. En må så høyreklikke på enheten (bilde av FPGA-en) for å velge operasjon. Og nå fortsetter en med punkt 8, en godtar program valgene, og får til slutt forhåpentligvis igjen beskjed om at Programming Succeeded. 28

29 7 Teori: Sampling med mer. Dette er et noe stikkordspreget og kladdepreget notat over noe av teorien fra signalbehandlingen. Det er det en bør kunne for å få utbytte av labøvingene i faget MIK200 anvendt signalbehandling. Mer detaljert og fullstendig informasjon om disse emne kan dere forhåpentligvis finne i deres favorittbok i signalbehandling. Her står det litt kort om Sampling, kvantisering og sample- og holdeelement A/D og D/A konverter Binær tallrepresentasjon 7.1 Sampling I et fysisk system er de fleste signaler kontinuerlige og analoge. Med kontinuerlig mener vi at de ved ethvert tidspunkt har en bestemt verdi, og at denne verdien i prinsippet kan fastsettes for et (uendelig) kort tidsrom. Med analog mener vi at forskjellen fra et tidspunkt til et annet tidspunkt kan være svært liten. Alle verdier mellom yttergrensene er mulig. Et eksempel på en slik fysisk verdi er temperatur. Et annet eksempel er lufttrykk, og svingninger i lufttrykket. Ved hjelp av måleteknikk så omdannes et fysisk signal til et elektrisk signal, gjerne en strøm eller ei spenning. For eksempel så kan lyd, det vil si svingninger i trykk, omdannes til et elektrisk signal (spenning) i en mikrofon. Sampling er diskretisering og kvantifisering. Diskretisering er en måling av (fysisk) verdi ved faste, gjerne regelmessige, diskrete tidspunkt. Når tid mellom to etterfølgende tidspunkt er T s = 1/f s får en det diskrete signalet x(k) ut fra det kontinuerlige signalet x(t) x(k) = x(t 0 + kt s ) (9) Kvantifisering er representasjon av den målte verdien med en begrenset oppløsning, ved et gitt antall bit. I en analog til digital konverter (A/D) gjøres både sampling og kvantifisering, påtrykt spenning måles i et (svært kort) tidsrom, målepunktet, og gjøres om til en digital verdi like etter. Noen nanosekunder senere er en klar til å måle spenningen for neste målepunkt. Den digitale representasjonen har gjerne en oppløsning på 8 til 20 bit. Samplingsteoremet Et kontinuerlig signal (med endelig energi, og også noen andre betingelser) kan 29

30 representeres som et integral av ulike frekvenskomponenter. der x(t) = 1 2π X(ω) = X(jω)e jωt dω (10) x(t)e jωt dt (11) Dette kalles Fourier transform av signalet. x(t) er en vekta sum av komplekse sinuser (e jωt ) der ω er frekvensen i radianer per sekund. En kan alternativt skrive frekvensen i Hertz og da har en X(f), der ω = 2πf, Proakis (kap 4) skriver stor f og ω altså F og Ω, merk at en får en skalering i ligningene over når en bruke f i stedet for ω. Siden et integral er en sum av uendelig mange små ledd kan vi si at signalet inneholder ulike frekvenskomponenter. Samplingsteoremet sier at hvis en sampler med en gitt frekvens, f s, så må ikke signalet en sampler innholde frekvenskomponenter med høyere frekvens enn Nyquist-frekvensen, f N = f s /2. Hvis signalet skulle inneholde høyere frekvenser så vil en få speiling om Nyquist-frekvensen. Det vil si at en i det diskrete signalet ikke kan skille mellom en frekvens og samme frekvens speilet om Nyquist-frekvensen. Samplingsteoremet sier også at hvis samplet signal kun inneholder frekvenskomponenter lavere enn Nyquist-frekvensen så kan det kontinuerlige samplede signalet gjenskapes perfekt ut fra det diskrete signalet. Oppsummering: T s, sampletid med enhet sekund [s]. f s = 1/T s, samplefrekvens med enhet Hertz (omdreininger per sekund). Hertz [Hz] er enheten 1/sekund [s 1 ]. ω s = 2πf s = 2π/T s, samplefrekvens med enhet radianer per sekund. f N = f s /2 er Nyquist frekvensen. En har også at en frevenskomponent i signalet kan uttrykkes med frekvensen f 1 [Hz] eller ω 1 [rad s 1 ], der perioden er T 1 = 1/f 1 = 2π/ω 1. Eksempel: En sampler med frekvens f s = 5 MHz (MegaHertz). Sampletida er da T s = 1/f s = 200 ns (nanosekund). Nyquist-frekvensen er da f N = f s /2 = 2.5 MHz, og signalet en sampler bør da ikke inneholde frekvenser over denne. Hvis det likevel gjør det vil en frekvens på for eksempel 3 MHz speiles om Nyquistfrekvensen og oppfattes på samme måte som en frekvens på 2 MHz, 4 MHz på signalet vil speiles til 1 MHz, 4.99 MHz vil speiles til 10 khz, 5.01 MHz vil også oppfattes som 10 khz. 30

31 7.2 A/D og D/A konvertering på P160-kortet Kvantifisering vil gi litt feil for hver verdi, men med de nøyaktige A/D konverterne som ofte brukes nå er dette som regel så lite at en ikke trenger bry seg om det. Imidlertid er det viktig at signalet befinner seg innenfor måleområdet for A/D konverteren, og at dette måleområdet utnyttes best mulig. Det er viktig å være klar over hvordan A/D konverteren virker, hvilket spenningsområde den virker innenfor og hvor mange bits oppløsning den har og om den er lineær innenfor området (noe den som regel er) og hva som skjer hvis signalet er utenfor lovlig område. En må også vite om det er analogt lavpass- (anti-aliasing-) filter på inngangen og eventuelt om det også er høypassfilter på inngangen. A/D konverter På P160 kortet er det to innganger med hver sin A/D konverter. De har begge 12 bits oppløsning og målefrekvensen er opp til 53 millioner sampler per sekund (Msps). Det er et anti-aliasing-filter på inngangene med knekkfrekvens f 3dB = 19.4 MHz. D/A konverter Når en skal gjenskape et kontinuerlig analogt (fysisk) signal ut fra et diskret kvantifisert signal bruker en en digital til analog konverter (D/A) og et holdeelement. En sender et digitalt signal inn til denne kretsen med en gitt frekvens som en gjerne også her kaller samplefrekvensen, f s, og dermed en gitt tid mellom hver sample, T s = 1/f s. Den digitale verdien gjøres om til en spenning (innenfor et definert område), og denne spenningen holdes konstant på utgangen i T s sekund til neste verdi gir en ny spenning på utgangen. Dette kalles et nullte ordens sample- og holdeelement. Resultatet er da en trappetrinnkurve ut fra D/A omformeren. En idell trappetrinnskurve (signal) inneholder uendelig høye frekvenser og det er jo ikke ønskelig, derfor er det ofte et lavpassfilter også på utgangen som filtrerer bort de høye frekvensene, og en sitter dermed igjen med det ønskelige analoge signalet på utgangen. På P160 kortet er det to utganger med hver sin D/A konverter. De har begge 12 bits oppløsning og målefrekvensen er opp til 165 millioner sampler per sekund (Msps). Det er et glatte-filter på utgangene med knekkfrekvens f 3dB = 28.4 MHz. 7.3 Binær tallrepresentasjon I digitale kretser representeres verdier som binære tall. Dette kan gjøres på flere ulike måter og det er viktig å vite hvordan dette gjøres. Spesielt når en jobber på så lavt nivå som en gjør når en setter opp (programmerer) en FPGA. Nedenfor brukes eksempel med B = 4 bit for hvert tall selv om en ofte mange flere bit. For en FPGA kan en bestemme nøyaktig hvor mange bit en skal bruke på de ulike steder i signalflyten, og hvordan de skal tolkes. 31

32 For positive verdier er det gjerne hensiktismessig med direkte binær representasjon, det vil si bitsekvensen 0000 er null, 0001 er en, og så videre opp til 1111 som er femten. En kan på denne måten med B bit representere alle heltall fra 0 til (2 B 1). Når en adderer to tall der begge har B bit så trenger en (B + 1) bit for resultatet for å unngå mulighet for overflyt. Subtraksjon kan i prinsippet ikke gjøres uten at en trenger en underflytskontroll. For P160 kortet har en A/D og D/A konvertere som omdanner gyldig spenningsområde til heltall fra 0 (laveste spenning) til (høyeste spenning). 2-er komplement er den vanligste (og beste) måten å representere negative og positive heltall på. En har da bitsekvensen 0000 er null, 0001 er en, og så videre opp til 0111 som er sju. For negative tall har en 1111 er minus en, 1110 er minus 2 og vider til 1000 som er minus åtte. En kan på denne måten med B bit representere alle heltall fra ( 2 B 1 ) til (2 B 1 1), med B = 4 fra -8 til 7. Dette er i mange sammenhenger en representasjon som det er greitt å regne med, og ofte takles også overflyt på en grei måte (når en vet at det kan skje og tar hensyn til det). Minus tallet dannes ved å snu alle bit og så legge til 1, vis 7 går til -7. Prøv gjerne selv med følgende eksempel: 2+5=7, 3+6=-7 (9-16), (-8)-5=(-8)+(-5)=3 (-13+16). Det er mer om tallrepresentasjon i lab Hexadesimal tallrepresentasjon En mer kompakt måte å skrive de binære tallrepresentasjonene på er å bruke hexadesimale siffer, 0-9, og A-F (a-f). En grupperer da 4 bit sammen og skriver tilhørende hexadesimale siffer i stedet for de fire binære siffer, ex: 1111 F. For å unngå sammenblandinger har en gjerne et prefiks foran det hexadesimale tallet, vi kan bruke 0x som i C og da blir 255 (desimalt) til (binært) og 0xFF (hexadesimalt). Se gjerne Wikipedia under Hexadecimal for mer. 7.5 Bitrepresentasjon av tall. Her kommer hovedpunkt som en må ha klart for seg. Tall (signal) representeres på tre ulike måter i FPGA, i System Genrator (SG). Den første er bool som er et enkelt bit som er 1 (sann eller true) eller 0 (usann eller false). Dette må ikke forveksles med UFix_1_0 som også er en bit men da er 1 (+1) eller 0 (0, null). Den andre er uten fortegn (unsigned), og her kan antall bit variere. I SG skrives dette UFix_N_M, der N er antall bit i tallet. Føreløpig lar vi M være 0. For eksempel med UFix_3_0 har en tre bit og 000 er 0, 001 er 1, 010 er 2 og så videre til 111 som er 7. En ser da at bit til høyre, least significant 32

33 bit (LSB), representerer 2 0 = 1, det midterste bit representerer 2 1 =, og det venstre bit, most significant bit (MSB) representerer 2 2 = 4 og tallet er summen av de delene der bit er 1. Nummererer en bit fra n = 0 (LSB) til n = N 1 (MSB) har en at bit n representerer 2 n. Største tall en kan representere er da x max = N 1 n=0 2n = 2 N 1. En kan også angi en posisjon for desimaltegn, M, der 0 M N. I praksis betyr det at heltallet en ville hatt hvis M = 0 skal divideres med 2 M. For eksempel med UFix_3_3 har en tre bit og 000 er 0/8=0, 001 er 1/8, 010 er 2/8=1/4 og så videre til 111 som er 7/8. En kan si at bit n representerer 2 n /2 M = 2 n M. Største tall en kan representere er da x max = 2 N M 2 M. Den tredje er tall med fortegn (signed), de representeres med 2-er komplement og skrives i SG som Fix_N_M. Det er som UFix over bare at bit N 1 (MSB) representerer negativ verdi. Føreløpig lar vi M være 0. For eksempel med Fix_3_0 har en tre bit og 000 er 0, 001 er 1, 010 er 2, 011 er 3, men når en nå kommer til neste har en MSB og det har negativ verdi altså har en 100 er -4, 101 er -3, 110 er -2 og så til slutt 111 som er -1. Som for UFix kan en også for Fix angi posisjon for desimaltegn, M, der 0 M N. Og på samme måte betyr det at heltallet en ville hatt hvis M = 0 skal divideres med 2 M. En har da at bit n = [0, 1,..., N 2] r epresenterer 2 n /2 M = 2 n M og bit n = N 1 (MSB) representerer 2 N 1 M. Største tall en kan representere er da x max = 2 N 1 M 2 M, mens minste tall en kan representere er x min = 2 N 1 M. 33

34 8 FIR-filter teori med mer. Dette er et noe stikkordspreget og kladdepreget notat over noe av teorien fra signalbehandlingen. En bør kunne dette for å få utbytte av labøvingene i faget MIK200 anvendt signalbehandling. Det står her kort om LTI-system og FIR-filter z-transform og faktorisering av denne Frekvensrespons Nullpunkt for andreordens FIR-filter Eksempel med FIR lavpassfilter Fourier-serie representasjon Boxcar-filter og tallet w N Mer detaljert og fullstendig informasjon om disse emner kan dere gjerne finne i deres favorittbok i signalbehandling. 8.1 FIR-filter Et lineært tidsinvariant system (LTI) med endelig impulsrespons kan betraktes som et filter. Er det diskret har vi et diskret filter. Et LTI-filter er entydig gitt ut fra impulsresponsen, det vil si at når inngangen er u(k) = δ(k) får en på utgangen y δ (k) = h(k) der h(k) er impulsresponsen. h(k) er en representasjon av filteret. Lineær: Et system er lineært hvis inngangssignalet u 1 (k) gir utgangssignalet y 1 (k) og inngangssignalet u 2 (k) gir utgangssignalet y 2 (k) medfører at en lineær kombinasjon av inngangssignalene, u(k) = au 1 (k)+bu 2 (k), gir som resultat samme lineær kombinasjon av de tilsvarende utgangssignalene, y(k) = ay 1 (k) + by 2 (k). Tidsinvariant: En tidsforskyvning av inngangssignalet gir samme tidsforskyvning av utgangssignalet. Kausalt: Et kausalt filter har ingen respons før inngangssignalet. Når impuls gis på inngangen får en impulsresponsen y δ (k) = h(k), og for et kausalt system har en h(k) = 0 for k < 0. 34

35 Finite Impulse Response (FIR): Et FIR-filter har en impulsrespons med endelig lengde, h(k) = 0 for k N. For et kausalt FIR-filter er N filterets lengde, en kan også si at antall tapper eller koeffisienter er N. FIR-filteret er altså { h(k) for 0 k < N h(k) = (12) 0 ellers Ut fra impulsresponsen for et lineært og tidsinvariant (og her også kausalt) system kan en finne responsen for et hvilket som helst inngangssignal u(k) med en konvolusjon. y(k) = N 1 n=0 h(n)u(k n). (13) Et FIR-filter kan implementers på direkte form, figur 9. Filterkoeffisientene er elementene b k direkte fra impulsresponsen. Addisjonen i første blokk må være ferdig før addisjonen i andre blokk har riktige verdier på inngangene sine, og så videre til de andre blokkene. Det betyr at avstanden (i tid) mellom to fortløpende sampler må være så stor at hele rekka med addisjoner blir ferdig før neste sample kommer. Dette kan en unngå med å bruke transponert form, figur 10. For FIR-filter (med høy samplingsfrekvens) som skal implementeres på FPGA er transponert form best. 8.2 z-transform z-transformen av et (kausalt) FIR-filter er definert som H(z) = N 1 k=0 h(k)z k, (14) der h(k) er filterkoeffisientene (impulsresponsen). H(z) kalles transferfunksjonen og er et polynom i z 1. Ved å multiplisere H(z) med z N 1 får en et polynom i z, dermed kan H(z) skrives som et produkt av en faktor, z 1 N, og et polynom i z. En ren tidsforskyvning, framflytting eller forsinkelse, z k eller z k, har i de fleste sammenhenger liten betydning. En kaskadeimplementasjon av et filter er hvis filteret er implementert som en kaskade (sekvens) av to elller flere filter. Har en flere (for eksempel h 1 og h 2 ) filter i sekvens, det vil si etter hverandre, så kan det totale filteret representeres som en konvolusjon i koeffisientdomenet, h(k) = h 1 (k) h 2 (k) = N 1 1 n=0 h 1 (n)h 2 (k n) = N 2 1 n=0 h 1 (k n)h 2 (n). (15) 35

36 Lengden av filteret h blir N = N 1 +N 2 1, der N 1 og N 2 er lengdene av h 1 og h 2 henholdsvis. I z-domenet blir det en multiplikasjon mellom de to polynomene H(z) = H 1 (z)h 2 (z) (16) I en polynomrepresentasjon (transferfunksjon) må en alltid være oppmerksom på hvilken rekkefølge koeffisientene kommer i og hvilken grad hvert tilhørende ledd har. h(0) er den koeffisienten som hører til leddet med høyeste grad. Polynomene H(z) og H(z 1 ) har koeffisientene i omvent rekkefølge. For et symmetrisk FIR-filter, h(k) = h(n 1 k), har en at polynomene for H(z 1 ) og H(z) er de samme, ellers ikke! Symmetriske FIR-filter kan realiseres med færre mulitplikasjoner. 8.3 Faktorisering Et polynom med N koeffisienter, grad (N 1), har N 1 nullpunkt, disse er generelt komplekse og kan være multiple. Finner en røttene for H(z), eller om man vil polynomet h(z) = z N 1 H(z) = h(0)z N 1 + h(1)z N h(n 2)z + h(n 1) (17) og kaller disse z i så har en at N 1 h(z) = h(0) (z z i ). (18) Hvis filterkoeffisientene, h(k), er reelle vil røttene i polynomet h(z) komme som kompekskonjungerte par, det vil si at hvis z i = x i + jy i er ei rot så er også zi = x i jy i ei rot i polynomet h(z). Da kan en faktorisere h(z) i andregradspolynom med reelle koeffisienter. Filteret kan implementeres som en sekvens (kaskade) av andreordens FIR-filter. Har en i tillegg et symmetrisk FIR-filter så vil en ha at hvis z i er ei rot i polynomet så er både zi, 1/z i og 1/zi røtter i polynomet. Da kan en gjerne faktorisere h(z), i symmetriske fjerdegradspolynom med relle koeffisienter. Filteret kan implementeres som en sekvens (kaskade) av fjerdeordens FIR-filter. En kan skrive et FIR filter, representert ved polynomet H(z) som i ligning 14, som et produkt av andreordens FIR-filter H(z) = i=1 K H k (z), der H k (z) = h k0 + h k1 z 1 + h k2 z 2 (19) k=1 En fordel med denne forma er at hver faktor gir nøyaktig kontroll med et par med nullpunkter. Dessuten kan filteret nå implementeres som en sekvens av korte andreordens FIR-filter, det vil si på kaskadeform om det er ønskelig. 36

37 8.4 Nullpunkt for andreordens FIR-filter. Vi har en andreordensfaktor gitt som H(z) = 1 a 0 ( b0 + b 1 z 1 + b 2 z 2). (20) Dette kan ganske direkte implementeres med heltallsaritmetikk når b i koeffisientene er heltall og a 0 = 2 k der k er et heltall, divisjon med a 0 er da bare å flytte desimalkomma, siden tall er representert med det binære tallsystem. For nullpunkt betyr ikke skaleringsfaktoren 1/a 0 noe. La oss forskyve 2 sampler fram og eliminere a 0, og ut fra ligning 20 får vi h(z) = a 0 z 2 H(z) = b 0 z 2 + b 1 z + b 2 (21) Formelen for løsning av andregradsligningen gir de to nullpunkt for polynomet h(z) som z 1 = b 1 + b 2 1 4b 0 b 2, z 2 = b 1 b 2 1 4b 0 b 2. (22) 2b 0 2b 0 La oss se på tilfellene der vi har komplekse røtter, eller de to reelle røttene er de samme, altså b 2 1 4b 0 b 2. Radius for røttene er da r = ( b1 ) 2 ( 4b0 b 2 b 2 ) = b b 0 b 2 b 2 1 = 2b 0 2b 0 2b 0 Vi har selvsagt at b 0 b 2 0 siden b 2 1 4b 0 b 2. Vinkelen for de komplekskonjungerte røttene er gitt ved cos θ = b 1 2b 0 b0 b 2 b 0. (23) / b0 b 2 b 0 = b 1 2 b 0 b 2. (24) Ofte ønskes nullpunkt på eller nær enhetssirkelen, dette gir da god demping av frekvensene nær nullpunktene. Vi ser at for å få røttene nøyaktig på enhetssirkelen så må vi ha b 0 = b 2 som videre gir r = 1 og cos θ = b 1 2b 0. Siden vi fortsatt antar b 2 1 4b 0 b 2 har vi altså 2b 0 b 1 2b 0. Altså r = 1 b 0 = b 2, cos θ = b 1 2b 0 der 2b 0 b 1 2b 0. (25) Når b 0, b 1 (og b 2 = b 0 ) velges som heltall av moderat størrelse, kan vi få nullpunktene omtrent der vi vil på enhetssirkelen. For en gitt b 0 -verdi vil mulige nullpunkt ikke fordeles jevnt på enhetssirkelen, men de tilhørende cosinus verdiene vil fordeles jevnt på den reelle aksen. Det betyr at det er vanskelig å 37

MIK 200 Anvendt signalbehandling, 2012.

MIK 200 Anvendt signalbehandling, 2012. Stavanger, 14. desember 2011 Det teknisknaturvitenskapelige fakultet MIK 200 Anvendt signalbehandling, 2012. Lab. 1, introduksjon. I denne første labøvinga skal en bli kjent med laboppsettet og få en kort

Detaljer

MIK 200 Anvendt signalbehandling, 2012.

MIK 200 Anvendt signalbehandling, 2012. Stavanger, 25. januar 202 Det teknisknaturvitenskapelige fakultet MIK 200 Anvendt signalbehandling, 202. Lab. 6, CIC-filter. Dette er første del av øvinger om CIC-filter. Andre del kommer i øving 7. Før

Detaljer

ELE610 Prosjekter i robotteknikk, vår 2016.

ELE610 Prosjekter i robotteknikk, vår 2016. Stavanger, 1. desember 2015 Det teknisknaturvitenskapelige fakultet ELE610 Prosjekter i robotteknikk, vår 2016. Lab. 2, Logikk og Notch-filter. Innhold 0 Introduksjon 3 2 Oppgaver 4 2.1 Logisk funksjon...........................

Detaljer

MIK 200 Anvendt signalbehandling, 2012. Lab. 5, brytere, lysdioder og logikk.

MIK 200 Anvendt signalbehandling, 2012. Lab. 5, brytere, lysdioder og logikk. Stavanger, 25. januar 2012 Det teknisknaturvitenskapelige fakultet MIK 200 Anvendt signalbehandling, 2012. Lab. 5, brytere, lysdioder og logikk. Vi skal i denne øvinga se litt på brytere, lysdioder og

Detaljer

Generell informasjon om faget er tilgjengelig fra It s learning.

Generell informasjon om faget er tilgjengelig fra It s learning. Stavanger, 6. august 013 Det teknisknaturvitenskapelige fakultet ELE500 Signalbehandling, 013. Generell informasjon om faget er tilgjengelig fra It s learning. Innhold 5.1 Implementering av IIR filter....................

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i Eksamensdag: 11. juni 27 Tid for eksamen: 14.3 17.3 Oppgavesettet er på 5 sider. Vedlegg: INF 347 / INF 447 Digital Signalbehandling

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i Eksamensdag: 29. mars 2007 Tid for eksamen: 09.00 2.00 Oppgavesettet er på 5 sider. Vedlegg: INF 3470 / INF 4470 Digital Signalbehandling

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i INF3440/4440 Signalbehandling Eksamensdag: xx. desember 007 Tid for eksamen: Oppgavesettet er på 6 sider. Vedlegg: Tillatte hjelpemidler:

Detaljer

Generell informasjon om faget er tilgjengelig fra It s learning.

Generell informasjon om faget er tilgjengelig fra It s learning. Stavanger,. oktober 3 Det teknisknaturvitenskapelige fakultet ELE5 Signalbehandling, 3. Generell informasjon om faget er tilgjengelig fra It s learning. Innhold 4. Frekvensrespons for system.....................

Detaljer

LØSNINGSFORSLAG TIL SIGNALBEHANDLING 1 JUNI 2010

LØSNINGSFORSLAG TIL SIGNALBEHANDLING 1 JUNI 2010 LØSNINGSFORSLAG TIL SIGNALBEHANDLING JUNI Løsningsforslag til eksamen i Signalbehandling, mai Side av 5 Oppgave a) Inngangssignalet x(t) er gitt som x( t) = 5cos(π t) + 8cos(π 4 t). Bruker Eulers formel

Detaljer

Uke 4: z-transformasjonen

Uke 4: z-transformasjonen Uke 4: z-transformasjonen Jo Inge Buskenes Institutt for informatikk, Universitetet i Oslo INF3470/4470, høst 2011 2/26 Dagens temaer z-dometet; ett av tre domener z-transformasjonen; definisjon og egenskaper

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Målform: Bokmål Eksamensdato: 6.mai 215 Varighet/eksamenstid: 5 timer Emnekode: TELE 23 Emnenavn: Signalbehandling Klasse(r): 2EI 2EE Studiepoeng: 1 Faglærer(e):

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Målform: Bokmål Eksamensdato: 7.mai 24 Varighet/eksamenstid: 5 timer Emnekode: TELE 23 Emnenavn: Signalbehandling Klasse(r): 2EI 2EE Studiepoeng: Faglærer(e):

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i INF347/447 Digital signalbehandling Eksamensdag:. desember 5 Tid for eksamen: 9. 3. Oppgavesettet er på 7 sider. Vedlegg: Ingen

Detaljer

STE 6219 Digital signalbehandling Løsning til kontinuasjonseksamen

STE 6219 Digital signalbehandling Løsning til kontinuasjonseksamen HØGSKOLEN I NARVIK Institutt for data-, elektro-, og romteknologi Masterstudiet EL/RT Side av 4 STE 629 Digital signalbehandling Løsning til kontinuasjonseksamen Tid: Fredag 03.08.2007, kl: 09:00-2:00

Detaljer

Repetisjon: LTI-systemer

Repetisjon: LTI-systemer Forelesning, 11. mars 4 Tilhørende pensum er 6.1-6.4 i læreboken. repetisjon av FIR-filtre frekvensresponsen til et FIR-filter beregne utgangen fra FIR-filtret ved hjelp av frekvensresponsen steady-state

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i Eksamensdag: mai 2002 IN 155 Digital Signalbehandling Tid for eksamen: 6. mai 9.00 21. mai 12.00 Oppgavesettet er på 5 sider.

Detaljer

y(t) t

y(t) t Løsningsforslag til eksamen i TE 559 Signaler og Systemer Høgskolen i Stavanger Trygve Randen, t.randen@ieee.org 3. mai 999 Oppgave a) Et tidsinvariant system er et system hvis egenskaper ikke endres med

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Målform: Eksamensdato: 14.5.213 Varighet/eksamenstid: Emnekode: Emnenavn: 5 timer EDT24T Signalbehandling Klasse(r): 2EI 2EE Studiepoeng: 1 Faglærer(e):

Detaljer

STE 6146 Digital signalbehandling. Løsningsforslag til eksamen avholdt

STE 6146 Digital signalbehandling. Løsningsforslag til eksamen avholdt HØGSKOLEN I NARVIK Institutt for data-, elektro-, og romteknologi Sivilingeniørstudiet EL/RT STE 6146 Digital signalbehandling Løsningsforslag til eksamen avholdt 06.02.03 Oppgaver 1. Forklar hva som er

Detaljer

Repetisjon: Eksempel. Repetisjon: Aliasing. Oversikt, 26.februar Gitt. Alle signaler. Ettersom. vil alle kontinuerlig-tid signaler.

Repetisjon: Eksempel. Repetisjon: Aliasing. Oversikt, 26.februar Gitt. Alle signaler. Ettersom. vil alle kontinuerlig-tid signaler. Oversikt, 6.februar Tilhørende pensum i boken er. -.. Repetisjon regning med aliasing og folding rekonstruksjon ved substitusjon FIR-filtre glidende middel et generelt FIR-filter enhetsimpulsresponsen

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i INF3470 Digital signalbehandling Eksamensdag: 1. desember 013 Tid for eksamen: 14.30 18.30 Oppgavesettet er på 15 sider. Vedlegg:

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i INF347/447 Digital signalbehandling Eksamensdag: 1. desember 16 Tid for eksamen: 14.3 18.3 Oppgavesettet er på 8 sider. Vedlegg:

Detaljer

Repetisjon: Sampling. Repetisjon: Diskretisering. Repetisjon: Diskret vs kontinuerlig. Forelesning, 12.februar 2004

Repetisjon: Sampling. Repetisjon: Diskretisering. Repetisjon: Diskret vs kontinuerlig. Forelesning, 12.februar 2004 Repetisjon: Diskret vs kontinuerlig Forelesning,.februar 4 Kap. 4.-4. i læreboken. Anta variabelen t slik at a < t < b, (a, b) R sampling og rekonstruksjon, i tids- og frekvensdomenet Nyquist-Shannons

Detaljer

Generell informasjon om faget er tilgjengelig fra It s learning.

Generell informasjon om faget er tilgjengelig fra It s learning. Stavanger, 8. august 213 Det teknisknaturvitenskapelige fakultet ELE5 Signalbehandling, 213. Generell informasjon om faget er tilgjengelig fra It s learning. Innhold 1 Multirateteori 2 1.1 Nedsampling.............................

Detaljer

pdf

pdf FILTERDESIGN Ukeoppgavene skal leveres som selvstendige arbeider. Det forventes at alle har satt seg inn i instituttets krav til innleverte oppgaver: Norsk versjon: http://www.ifi.uio.no/studinf/skjemaer/erklaring.pdf

Detaljer

Forkunnskapskrav. Hva handler kurset om. Kontaktinformasjon. Kurset er beregnet på en student som kan

Forkunnskapskrav. Hva handler kurset om. Kontaktinformasjon. Kurset er beregnet på en student som kan Velkommen til INF4, Digital signalbehandling Hilde Skjevling (Kursansvarlig) Svein Bøe (Java) INSTITUTT FOR INFORMATIKK Kontaktinformasjon E-post: hildesk@ifi.uio.no Telefon: 85 4 4 Kontor: 4 i 4.etasje,

Detaljer

Generell informasjon om faget er tilgjengelig fra It s learning. 1 En kort oppsummering Adaptiv filtrering 2. 3 Prediksjon 4

Generell informasjon om faget er tilgjengelig fra It s learning. 1 En kort oppsummering Adaptiv filtrering 2. 3 Prediksjon 4 Stavanger, 13. august 2013 Det teknisknaturvitenskapelige fakultet ELE500 Signalbehandling, 2013. Generell informasjon om faget er tilgjengelig fra It s learning. Innhold 1 En kort oppsummering. 1 2 Adaptiv

Detaljer

LAB 7: Operasjonsforsterkere

LAB 7: Operasjonsforsterkere LAB 7: Operasjonsforsterkere I denne oppgaven er målet at dere skal bli kjent med praktisk bruk av operasjonsforsterkere. Dette gjøres gjennom oppgaver knyttet til operasjonsforsterkeren LM358. Dere skal

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Eksamensdato: 19.5.211 Varighet/eksamenstid: Emnekode: 5 timer EDT24T Emnenavn: Signalbehandling 1 Klasse(r): 2EE Studiepoeng: 1 Faglærer(e): Håkon Grønning

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i INF3470/4470 Digital signalbehandling Eksamensdag: 5. januar 019 Tid for eksamen: 09:00 13:00 Oppgavesettet er på 9 sider. Vedlegg:

Detaljer

Generell informasjon om faget er tilgjengelig fra It s learning. 7.1 Stokastisk prosess Lineær prediktor AR-3 prosess...

Generell informasjon om faget er tilgjengelig fra It s learning. 7.1 Stokastisk prosess Lineær prediktor AR-3 prosess... Stavanger, 1. september 013 Det teknisknaturvitenskapelige fakultet ELE500 Signalbehandling, 013. Generell informasjon om faget er tilgjengelig fra It s learning. Innhold 7.1 Stokastisk prosess..........................

Detaljer

EKSAMEN STE 6219 Digital signalbehandling

EKSAMEN STE 6219 Digital signalbehandling HØGSKOLEN I NARVIK Institutt for data-, elektro-, og romteknologi Masterstudiet EL/RT Side 1 av 4 EKSAMEN STE 6219 Digital signalbehandling Tid: Tirsdag 07.03.2006, kl: 09:00-12:00 Tillatte hjelpemidler:

Detaljer

Noen presiseringer mhp Diskret Fourier Transform. Relevant for oblig 1.

Noen presiseringer mhp Diskret Fourier Transform. Relevant for oblig 1. FYS2130 Våren 2008 Noen presiseringer mhp Diskret Fourier Transform. Relevant for oblig 1. Vi har på forelesning gått gjennom foldingsfenomenet ved diskret Fourier transform, men ikke vært pinlig nøyaktige

Detaljer

Generell informasjon om faget er tilgjengelig fra fagets nettside, og for øvinger brukes canvas. 1 Øving med systemidentifikasjon.

Generell informasjon om faget er tilgjengelig fra fagets nettside, og for øvinger brukes canvas. 1 Øving med systemidentifikasjon. Stavanger, 23. juni 2017 Det teknisknaturvitenskapelige fakultet ELE620 Systemidentifikasjon, 2017. Generell informasjon om faget er tilgjengelig fra fagets nettside, og for øvinger brukes canvas. Innhold

Detaljer

Rapport. Lab 1. Absoluttverdikrets - portkretser

Rapport. Lab 1. Absoluttverdikrets - portkretser TFE4105 Digitalteknikk og datamaskiner Rapport Lab 1 Absoluttverdikrets - portkretser av Even Wiik Thomassen Broen van Besien Gruppe 193 Lab utført: 8. september 2004 Rapport levert: 12. november 2004

Detaljer

Utregning av en konvolusjonssum

Utregning av en konvolusjonssum Forelesning 4.mars 2004 Tilhørende pensum: 5.4-5.8 byggeklosser i implementasjon av FIR-filtre multiplikator adderer enhets blokkdiagrammer over FIR-filtre LTI-systemer tidsinvarians linearitet utlede

Detaljer

Forelesning, 23.februar INF2400 Sampling II. Øyvind Ryan. Februar 2006

Forelesning, 23.februar INF2400 Sampling II. Øyvind Ryan. Februar 2006 INF2400 Februar 2006 INF2400 Innhold Delkapitlene 4.4-4.6 fra læreboken, 4.3 er til selvstudium. Repetisjon om sampling og aliasing Diskret-til-kontinuerlig omforming Interpolasjon med pulser Oversamling

Detaljer

Transformanalyse. Jan Egil Kirkebø. Universitetet i Oslo 17./23. september 2019

Transformanalyse. Jan Egil Kirkebø. Universitetet i Oslo 17./23. september 2019 Transformanalyse Jan Egil Kirkebø Universitetet i Oslo janki@ifi.uio.no 17./23. september 2019 Jan Egil Kirkebø (Inst. for Inf.) IN3190/IN4190 17./23. september 2019 1 / 22 Egenfunksjoner til LTI-systemer

Detaljer

Dato: Tirsdag 28. november 2006 Lengde på eksamen: 4 timer Tillatte hjelpemidler: Kun standard enkel kalkulator, HP 30S

Dato: Tirsdag 28. november 2006 Lengde på eksamen: 4 timer Tillatte hjelpemidler: Kun standard enkel kalkulator, HP 30S DET TEKNISK - NATURVITENSKAPELIGE FAKULTET Institutt for data- og elektroteknikk Eksamen i MIK130, Systemidentifikasjon Dato: Tirsdag 28. november 2006 Lengde på eksamen: 4 timer Tillatte hjelpemidler:

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Kandidatnr: Eksamensdato: 27.5.21 Varighet/eksamenstid: Emnekode: 5 timer EDT24T Emnenavn: Signalbehandling 1 Klasse(r): 2ET 2EE Studiepoeng: 1 Faglærer(e):

Detaljer

Forelesning, 17.februar INF2400 Sampling II. Øyvind Ryan. Februar 2005

Forelesning, 17.februar INF2400 Sampling II. Øyvind Ryan. Februar 2005 INF2400 Februar 2005 INF2400 Innhold Delkapitlene 4.4-4.6 fra læreboken, 4.3 er til selvstudium. Repetisjon om sampling og aliasing Diskret-til-kontinuerlig omforming Interpolasjon med pulser Oversamling

Detaljer

Tidsdomene analyse (kap 3 del 2)

Tidsdomene analyse (kap 3 del 2) INF3470 Digital signalbehandling Tidsdomene analyse (kap 3 del 2) Sverre Holm 3.9 Diskret konvolusjon Metode for å finne responsen fra et filter med 0 initialbetingelser, fra impulsresponsen h[n] Enkelt

Detaljer

FYS1210 Løsningsforslag Eksamen V2015

FYS1210 Løsningsforslag Eksamen V2015 FYS1210 Løsningsforslag Eksamen V2015 K. Spildrejorde, M. Elvegård Juni 2015 1 Oppgave 1: Frekvensfilter Frekvensfilteret har følgende verdier: 1A C1 = 1nF C2 = 100nF R1 = 10kΩ R2 = 10kΩ Filteret er et

Detaljer

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi

HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi HØGSKOLEN I SØR-TRØNDELAG Avdeling for teknologi Målform: Bokmål Eksamensdato: 16.mai 1 Varighet/eksamenstid: Emnekode: Emnenavn: 5 timer EDT4T Signalbehandling Klasse(r): EI EE Studiepoeng: 1 Faglærer(e):

Detaljer

Dagens temaer. 3 domener. Tema. Time 4: z-transformasjonen. z-dometet; ett av tre domener. Andreas Austeng@ifi.uio.no, INF3470

Dagens temaer. 3 domener. Tema. Time 4: z-transformasjonen. z-dometet; ett av tre domener. Andreas Austeng@ifi.uio.no, INF3470 Dagens temaer Time 4: z-transformasjonen Andreas Austeng@ifi.uio.no, INF3470 z-dometet; ett av tre domener z-transformasjonen; definisjon og egenskaper Ifi/UiO September 2009 H(z); systemfunksjonen og

Detaljer

Dagens temaer. Definisjon av z-transformasjonen. Tema. Time 5: z-transformasjon og frekvens transformasjon. Fra forrige gang

Dagens temaer. Definisjon av z-transformasjonen. Tema. Time 5: z-transformasjon og frekvens transformasjon. Fra forrige gang Dagens temaer Time 5: z-transformasjon og frekvens transformasjon Andreas Austeng@ifi.uio.no, NF3470 fi/uio September 2009 Fra forrige gang Kausalitet, stabilitet og inverse systemer Z 1 { }: nvers z-transformasjon

Detaljer

Uke 4: z-transformasjonen

Uke 4: z-transformasjonen Uke 4: z-transformasjonen Jo Inge Buskenes Institutt for informatikk, Universitetet i Oslo INF3470/4470, høst 2012 2/30 Dagens temaer z-dometet; ett av tre domener z-transformasjonen; definisjon og egenskaper

Detaljer

Sampling ved Nyquist-raten

Sampling ved Nyquist-raten Samplingsteoremet Oppgavegjennomgang, 7.mai Oversikt Presisering av samplingsteoremet Løse utsendt oppgave om sampling Løse oppgave, V Løse oppgave 3, V If a function f (t contains no frequencies higher

Detaljer

Alle hele tall g > 1 kan være grunntall i et tallsystem.

Alle hele tall g > 1 kan være grunntall i et tallsystem. Tallsystemer Heltall oppgis vanligvis i det desimale tallsystemet, også kalt 10-tallssystemet. Eksempel. Gitt tallet 3794. Dette kan skrives slik: 3 1000 + 7 100 + 9 10 + 4 = 3 10 3 + 7 10 2 + 9 10 1 +

Detaljer

Tallsystemer. Tallene x, y, z og u er gitt ved x = 2, y = 2, z = 4 og u = 2. Dermed blir =

Tallsystemer. Tallene x, y, z og u er gitt ved x = 2, y = 2, z = 4 og u = 2. Dermed blir = Tallsystemer Heltall oppgis vanligvis i det desimale tallsystemet, også kalt 10-tallssystemet. Eksempel. Gitt tallet 3794. Dette kan skrives slik: 3 1000 + 7 100 + 9 10 + 4 = 3 10 3 + 7 10 2 + 9 10 1 +

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk - naturvitenskapelige fakultet Eksamen i : FYS1210 - Elektronikk med prosjektoppgaver Eksamensdag : Tirsdag 7. juni 2016 Tid for eksamen : 09:00 12:00 (3 timer) Oppgavesettet

Detaljer

Fasit, Eksamen. INF3440/4440 Signalbehandling 9. desember c 0 + c 1z 1 + c 2z 2. G(z) = 1/d 0 + d 1z 1 + d 2z 2

Fasit, Eksamen. INF3440/4440 Signalbehandling 9. desember c 0 + c 1z 1 + c 2z 2. G(z) = 1/d 0 + d 1z 1 + d 2z 2 Fasit, Eksamen INF/ Signalbehandling 9. desember Oppgave : Strukturer To systemfunksjoner, G(z) og H(z), er gitt som følger: G(z) = c + c z + c z /d + d z + d z og H(z) = /d + dz + d z c + c z + c z. Figur

Detaljer

Analog til digital omformer

Analog til digital omformer A/D-omformer Julian Tobias Venstad ED-0 Analog til digital omformer (Engelsk: Analog to Digital Converter, ADC) Forside En rask innføring. Innholdsfortegnelse Forside 1 Innholdsfortegnelse 2 1. Introduksjon

Detaljer

«OPERASJONSFORSTERKERE»

«OPERASJONSFORSTERKERE» Kurs: FYS 1210 Gruppe: Gruppe-dag: Oppgave: LABORATORIEØVELSE NR 7 Revidert utgave, desember 2014 (T. Lindem, K.Ø. Spildrejorde, M. Elvegård) Omhandler: «OPERASJONSFORSTERKERE» FORSTERKER MED TILBAKEKOBLING

Detaljer

6DPSOLQJ DY NRQWLQXHUOLJH VLJQDOHU

6DPSOLQJ DY NRQWLQXHUOLJH VLJQDOHU TE6146 ignalbehandling 6DPOLQJ DY NRQWLQXHUOLJH VLJQDOHU,QWURGXNVMRQ Mest vanlige måte å oppnå diskrete signaler på er ved sampling av kontinuerlige signaler Under gitte forutsetninger kan kontinuerlige

Detaljer

Tidsdomene analyse (kap 3 del 2)

Tidsdomene analyse (kap 3 del 2) INF3470 Digital signalbehandling Tidsdomene analyse (kap 3 del 2) Sverre Holm 3.9 Diskret konvolusjon Metode for å finne responsen fra et filter med 0 initialbetingelser, fra impulsresponsen h[n] Enkelt

Detaljer

STE 6219 Digital signalbehandling Løsningsforslag

STE 6219 Digital signalbehandling Løsningsforslag HØGSKOLEN I NARVIK Institutt for data-, elektro-, og romteknologi Masterstudiet EL/RT Side 1 av 3 STE 6219 Digital signalbehandling Løsningsforslag Tid: Fredag 20.04.2007, kl: 09:00-12:00 Tillatte hjelpemidler:

Detaljer

Enkle logiske kretser Vi ser på DTL (Diode Transistor Logikk) og 74LSxx (Low Power Schottky logikk)

Enkle logiske kretser Vi ser på DTL (Diode Transistor Logikk) og 74LSxx (Low Power Schottky logikk) Kurs: FYS1210 Elektronikk med prosjektoppgaver Gruppe: Gruppe-dag: Oppgave: Omhandler: LABORATORIEOPPGAVE NR 5 Revidert desember 2014 T. Lindem, K. Ø. Spildrejorde, M. Elvegård Enkle logiske kretser Vi

Detaljer

INF1400. Kombinatorisk Logikk

INF1400. Kombinatorisk Logikk INF1400 Kombinatorisk Logikk Hva lærte vi forrige uke? www.socrative.com Student login Omid Mirmotahari 1 Læringsutbytte Kunnskapsmål: Kunnskap om hvordan addisjon og subtraksjon for binære tall gjøres

Detaljer

Lab 7 Operasjonsforsterkere

Lab 7 Operasjonsforsterkere Universitetet i Oslo FYS1210 Elektronikk med prosjektoppgave Lab 7 Operasjonsforsterkere Sindre Rannem Bilden 13. april 2016 Labdag: Tirsdag Labgruppe: 3 Oppgave 1: Forsterker med tilbakekobling I en operasjonsforsterker

Detaljer

Generell informasjon om faget er tilgjengelig fra fagets nettside, og for øvinger brukes It s learning. med Kalman-filter og RLS.

Generell informasjon om faget er tilgjengelig fra fagets nettside, og for øvinger brukes It s learning. med Kalman-filter og RLS. Stavanger, 9. august 2016 Det teknisknaturvitenskapelige fakultet ELE620 Systemidentifikasjon, 2016. Generell informasjon om faget er tilgjengelig fra fagets nettside, og for øvinger brukes It s learning.

Detaljer

UTVIDET TEST AV PROGRAM

UTVIDET TEST AV PROGRAM Tid : 16.2.99, kl. 153 Til : Ole Meyer og prøvenemda Fra : Anders Sak : Fagprøve våren 1999, utvidet test av program Denne oppgaven var tre-delt. UTVIDET TEST AV PROGRAM Først skulle jeg påtrykke AD-kortet

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i INF 3440 / INF 4440 Signalbehandling Eksamensdag: 27. oktober 2003 10. november 2003 Tid for eksamen: 12.00 12.00 Oppgavesettet

Detaljer

HØGSKOLEN - I - STAVANGER. Institutt for elektroteknikk og databehandling

HØGSKOLEN - I - STAVANGER. Institutt for elektroteknikk og databehandling HØGSKOLEN - I - STAVANGER Institutt for elektroteknikk og databehandling EKSAMEN I: TE 559 Signaler og systemer VARIGHET: 5 timer TILLATTE HJELPEMIDLER: Kalkulator, K. Rottmanns formelsamling OPPGAVESETTET

Detaljer

FILTERDESIGN Ukeoppgavene skal leveres som selvstendige arbeider. Det forventes at alle har satt seg inn i instituttets krav til innleverte oppgaver: Norsk versjon: http://www.ifi.uio.no/studinf/skjemaer/erklaring.pdf

Detaljer

Lab 5 Enkle logiske kretser - DTL og 74LS00

Lab 5 Enkle logiske kretser - DTL og 74LS00 Universitetet i Oslo FYS1210 Elektronikk med prosjektoppgave Lab 5 Enkle logiske kretser - DTL og 74LS00 Sindre Rannem Bilden 4. april 2016 Labdag: Tirsdag Labgruppe: 3 Oppgave 1: Funksjonstabell En logisk

Detaljer

LØSNINGSFORSLAG TIL EKSAMEN STE 6219 Digital signalbehandling

LØSNINGSFORSLAG TIL EKSAMEN STE 6219 Digital signalbehandling Side 1 av 4 HØGSKOLEN I NARVIK Institutt for data-, elektro-, og romteknologi MSc-studiet EL/RT LØSNINGSFORSLAG TIL EKSAMEN STE 6219 Digital signalbehandling Tid: Fredag 11.03.2005, kl: 09:00-12:00 Tillatte

Detaljer

«OPERASJONSFORSTERKERE»

«OPERASJONSFORSTERKERE» Kurs: FYS 1210 Gruppe: Gruppe-dag: Oppgave: LABORATORIEØVELSE NR 7 Revidert utgave 18. mars 2013 (Lindem) Omhandler: «OPERASJONSFORSTERKERE» FORSTERKER MED TILBAKEKOBLING AVVIKSPENNING OG HVILESTRØM STRØM-TIL-SPENNING

Detaljer

Reelle tall på datamaskin

Reelle tall på datamaskin Reelle tall på datamaskin Knut Mørken 5. september 2007 1 Innledning Tirsdag 4/9 var tema for forelesningen hvordan reelle tall representeres på datamaskin og noen konsekvenser av dette, særlig med tanke

Detaljer

Generell informasjon om faget er tilgjengelig fra fagets nettside, og for øvinger brukes canvas.

Generell informasjon om faget er tilgjengelig fra fagets nettside, og for øvinger brukes canvas. Stavanger, 26. juni 2017 Det teknisknaturvitenskapelige fakultet ELE620 Systemidentifikasjon, 2017. Generell informasjon om faget er tilgjengelig fra fagets nettside, og for øvinger brukes canvas. Innhold

Detaljer

UNIVERSITETET I OSLO.

UNIVERSITETET I OSLO. UNIVERSITETET I OSLO. Det matematisk - naturvitenskapelige fakultet. Eksamen i : Eksamens dag : Tid for eksamen : Oppgavesettet er på 6 sider Vedlegg : Tillatte hjelpemidler : FYS1210-Elektronikk med prosjektoppgaver

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i Eksamensdag: Oppgavesettet er på 9 sider. Vedlegg: Tillatte hjelpemidler: INF2400 Digital signalbehandling 16. 23. april 2004,

Detaljer

Digitalisering av lyd

Digitalisering av lyd Digitalisering av lyd Denne øvelsen er basert på materiale som Tore A. Danielsen utviklet som del av sin masteroppgave i fysikkdidaktikk. Arnt Inge Vistnes har også bidratt med ideer og diskusjoner. Hva

Detaljer

Tallsystemer. Tallene x, y, z og u er gitt ved x = 2, y = 2, z = 4 og u = 2. Dermed blir =

Tallsystemer. Tallene x, y, z og u er gitt ved x = 2, y = 2, z = 4 og u = 2. Dermed blir = Tallsystemer Heltall oppgis vanligvis i det desimale tallsystemet, også kalt 10-tallssystemet. Eksempel. Gitt tallet 3794. Dette kan skrives slik: 3 1000 + 7 100 + 9 10 + 4 = 3 10 3 + 7 10 2 + 9 10 1 +

Detaljer

Geometra. Brukermanual. Telefon: 64831920

Geometra. Brukermanual. Telefon: 64831920 Geometra Brukermanual Telefon: 64831920 Innhold GENERELT...3 Hva er Geometra?...3 Om PDF tegninger...3 KOM I GANG!...5 Start programvaren og logg inn...5 Grunnleggende funksjoner:...6 Lag et prosjekt,

Detaljer

LØSNINGSFORSLAG TIL EKSAMEN STE 6219 Digital signalbehandling

LØSNINGSFORSLAG TIL EKSAMEN STE 6219 Digital signalbehandling Side1av4 HØGSKOLEN I NARVIK Institutt for data-, elektro-, og romteknologi Sivilingeniørstudiet EL/RT LØSNINGSFORSLAG TIL EKSAMEN STE 6219 Digital signalbehandling Tid: Mandag 27.08.2009, kl: 09:00-12:00

Detaljer

Uke 5: Analyse i z- og frekvensdomenet

Uke 5: Analyse i z- og frekvensdomenet Uke 5: Analyse i z- og frekvensdomenet Jo Inge Buskenes Institutt for informatikk, Universitetet i Oslo INF3470/4470, høst 2011 2/32 Dagens temaer Fra forrige gang Kausalitet, stabilitet og inverse systemer

Detaljer

MyLocator2 Brukermanual v1.6 (20.08.2013) Utdrag av vlocpro2/vlocml2 brukermanual

MyLocator2 Brukermanual v1.6 (20.08.2013) Utdrag av vlocpro2/vlocml2 brukermanual MyLocator2 Brukermanual v1.6 (20.08.2013) Utdrag av vlocpro2/vlocml2 brukermanual 5.1 MyLocator2 MyLocator2 konfigurasjons verktøyet er en programpakke som tillater brukeren å konfigurere vloc 2. generasjons

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i INF/ Signalbehandling Eksamensdag: 9. desember Tid for eksamen:. 7. Oppgavesettet er på sider. Vedlegg: Ingen Tillatte hjelpemidler:

Detaljer

Praktiske målinger med oscilloskop og signalgenerator

Praktiske målinger med oscilloskop og signalgenerator Kurs: FYS1210 Elektronikk med prosjektoppgaver Gruppe: Gruppe-dag: Oppgave: LABORATORIEØVELSE NR 2 Omhandler: Praktiske målinger med oscilloskop og signalgenerator Vi ser på likerettere og frekvensfilter

Detaljer

Sampling, kvantisering og lagring av lyd

Sampling, kvantisering og lagring av lyd Litteratur : Temaer i dag: Neste uke : Sampling, kvantisering og lagring av lyd Cyganski kap 11-12 Merk: trykkfeilliste legges på web-siden Sampling av lyd Kvantisering av lyd Avspilling av samplet og

Detaljer

KYBERNETIKKLABORATORIET. FAG: Industriell IT DATO: 08.14 OPPG.NR.: LV4. LabVIEW Temperaturmålinger BNC-2120

KYBERNETIKKLABORATORIET. FAG: Industriell IT DATO: 08.14 OPPG.NR.: LV4. LabVIEW Temperaturmålinger BNC-2120 KYBERNETIKKLABORATORIET FAG: Industriell IT DATO: 08.14 OPPG.NR.: LV4. LabVIEW LabVIEW Temperaturmålinger BNC-2120 Lampe/sensor-system u y I denne oppgaven skal vi teste et lampe/sensor-system som vist

Detaljer

Uke 4: z-transformasjonen

Uke 4: z-transformasjonen Uke 4: z-transformasjonen Jo Inge Buskenes Institutt for informatikk, Universitetet i Oslo INF3470/4470, høst 2013 2/31 Dagens temaer z-dometet; ett av tre domener z-transformasjonen; definisjon og egenskaper

Detaljer

Prosjektoppgave i Ingeniørfaglig yrkesutøving og arbeidsmetoder - orientering om prosjektet

Prosjektoppgave i Ingeniørfaglig yrkesutøving og arbeidsmetoder - orientering om prosjektet Prosjektoppgave i Ingeniørfaglig yrkesutøving og arbeidsmetoder - orientering om prosjektet Prosjektet består av 4 arbeidspakker: 1. Litteraturstudie / teori Sett opp et generelt uttrykk for en sinusfunksjon

Detaljer

Figur 2 viser spektrumet til signalet fra oppgave 1 med 20% pulsbredde. Merk at mydaqs spektrumsanalysator 2

Figur 2 viser spektrumet til signalet fra oppgave 1 med 20% pulsbredde. Merk at mydaqs spektrumsanalysator 2 Oppgave 1 teoretisk del; 2 poeng Figur 1 viser et stolpediagram fra MatLab der c k er plottet for a = 0.2, a = 0.5 og a = 0.01. V 0 = 1 for alle plottene. Oppgave 1 praktisk del; 2 poeng Figur 2 viser

Detaljer

INF 1040 Digital representasjon 2007 Utkast til - Obligatorisk oppgave nr 2

INF 1040 Digital representasjon 2007 Utkast til - Obligatorisk oppgave nr 2 INF 40 Digital representasjon 2007 Utkast til - Obligatorisk oppgave nr 2 Utlevering: onsdag 17. oktober 2007, kl. 17:00 Innlevering: fredag 2. november 2007, kl. 23:59:59 Formaliteter Besvarelsen skal

Detaljer

Praktiske målinger med oscilloskop og signalgenerator Vi ser på likerettere og frekvensfilter

Praktiske målinger med oscilloskop og signalgenerator Vi ser på likerettere og frekvensfilter Kurs: FYS1210 Elektronikk med prosjektoppgaver Gruppe: Gruppe-dag: Oppgave: LABORATORIEØVELSE NR 2 Omhandler: Praktiske målinger med oscilloskop og signalgenerator Vi ser på likerettere og frekvensfilter

Detaljer

En liten oppskrift på hvordan jeg installert og fikk Xastir til å virke sånn at jeg ble synlig i APRS verden.

En liten oppskrift på hvordan jeg installert og fikk Xastir til å virke sånn at jeg ble synlig i APRS verden. En liten oppskrift på hvordan jeg installert og fikk Xastir til å virke sånn at jeg ble synlig i APRS verden. La meg med en gang si at jeg er rimelig grønn i Linux verden så dere får bære over med meg

Detaljer

UNIVERSITETET I OSLO.

UNIVERSITETET I OSLO. UNIVERSITETET I OSLO. Det matematisk - naturvitenskapelige fakultet. Eksamen i : Eksamens dag : Tid for eksamen : Oppgavesettet er på 6 sider Vedlegg : Tillatte hjelpemidler : FYS1210-Elektronikk med prosjektoppgaver

Detaljer

Uke 6: Analyse i frekvensdomenet

Uke 6: Analyse i frekvensdomenet Uke 6: Analyse i frekvensdomenet Jo Inge Buskenes Institutt for informatikk, Universitetet i Oslo INF3470/4470, høst 2011 2/26 Dagens temaer Fra forrige gang Frekvensrespons funksjonen Fourier rekker og

Detaljer

Fasit til midtveiseksamen

Fasit til midtveiseksamen Fasit til midtveiseksamen INF344/444 Signalbehandling 2. november 24 Oppgave Betrakt systemet x(n) T y (n) med y(n) = 4 5 [x(n+)] 2. Avgjør og begrunn ditt svar om hvorvidt dette systemet er. lineært,

Detaljer

UNIVERSITETET I OSLO

UNIVERSITETET I OSLO UNIVERSITETET I OSLO Det matematisk-naturvitenskapelige fakultet Eksamen i INF3440/4440 Signalbehandling Eksamensdag: 11. desember 006 Tid for eksamen: 15.30 18.30 Oppgavesettet er på 7 sider. Vedlegg:

Detaljer

TMA Matlab Oppgavesett 2

TMA Matlab Oppgavesett 2 TMA4123 - Matlab Oppgavesett 2 18.02.2013 1 Fast Fourier Transform En matematisk observasjon er at data er tall, og ofte opptrer med en implisitt rekkefølge, enten i rom eller tid. Da er det naturlig å

Detaljer

Alle hele tall g > 1 kan være grunntall i et tallsystem.

Alle hele tall g > 1 kan være grunntall i et tallsystem. Tallsystemer Heltall oppgis vanligvis i det desimale tallsystemet, også kalt 10-tallssystemet. Eksempel. Gitt tallet 3794. Dette kan skrives slik: 3 1000 + 7 100 + 9 10 + 4 = 3 10 3 + 7 10 2 + 9 10 1 +

Detaljer

wxmaxima Brukermanual for Matematikk 1T Bjørn Ove Thue

wxmaxima Brukermanual for Matematikk 1T Bjørn Ove Thue wxmaxima Brukermanual for Matematikk 1T Bjørn Ove Thue Om wxmaxima wxmaxima er en utvidet kalkulator som i tillegg til å regne ut alt en vanlig kalkulator kan regne ut, også regner symbolsk. Det vil si

Detaljer

Kapittel 5. Frekvensrespons. Beregningavfrekvensresponsfrasignaler. Figur 25 viser sammenhørende inngangssignal og utgangssignal for et system.

Kapittel 5. Frekvensrespons. Beregningavfrekvensresponsfrasignaler. Figur 25 viser sammenhørende inngangssignal og utgangssignal for et system. Kapittel 5 Frekvensrespons Oppgave5.1 Beregningavfrekvensresponsfrasignaler Figur 25 viser sammenhørende inngangssignal og utgangssignal for et system. Figur 25: Oppgave 5.1: Inngangssignalet u og utgangssignalet

Detaljer

303d Signalmodellering: Gated sinus a) Finn tidsfunksjonen y(t) b) Utfør en Laplace transformasjon og finn Y(s)

303d Signalmodellering: Gated sinus a) Finn tidsfunksjonen y(t) b) Utfør en Laplace transformasjon og finn Y(s) 303d Signalmodellering: Gated sinus... 1 610 Operasjonsforsterkere H2013-3... 1 805 Sallen and Key LP til Båndpass filter... 2 904 Z-transformasjon av en forsinket firkant puls.... 4 913 Chebyshev filter...

Detaljer

INF1400. Kombinatorisk Logikk

INF1400. Kombinatorisk Logikk INF4 Kombinatorisk Logikk Oversikt Binær addisjon Negative binære tall - 2 er komplement Binær subtraksjon Binær adder Halvadder Fulladder Flerbitsadder Carry propagation / carry lookahead Generell analyseprosedyre

Detaljer